Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 102125

Article: 102125
Subject: Re: Superscalar Out-of-Order Processor on an FPGA
From: "Isaac Bosompem" <x86asm@gmail.com>
Date: 10 May 2006 14:47:30 -0700
Links: << >>  << T >>  << A >>
Sorry it's "Renesas" now.


Article: 102126
Subject: Re: Xilinx 3s8000?
From: "JJ" <johnjakson@gmail.com>
Date: 10 May 2006 14:53:02 -0700
Links: << >>  << T >>  << A >>

c d saunter wrote:
> =?ISO-8859-1?Q?Michael_Sch=F6berl?= (MSchoeberl@mailtonne.de) wrote:
>
> : Do you say that this would still run at full speed?
> : has anyone tried this?
>

No reason to try it myself, also the cost of VM servers used to be
prohibitive for play use but the VMWare scene has changed alot with
free guest VMs.

> : I suspect the emulation takes quite some ressources ...
>
> Running an x86 virtual PC on an x86 host can use virtualization where most
> code (non priveledged stuff etc.) runs nativly, so there is a much less
> significant performance hit than with emulation.
>
> You need a lot of memory though as both the host and guest OS' memory
> requirements must be fulfilled.
>

I wonder if there would be some advantage to running Windows Vm boxes
on Linux rather than on WIndows host, or vice versa for memory
advantages or to satisfy OS preference while using EDA tool with the
vendor supported OS.

> Also the old tools will have been used with older, slower PCs so will get
> a speed bost from the modern PC which probably offsets the virtualization
> overhead.
>
> Where the VM aproach falls down is where you have software that is locked
> to some physical dongle...
>

Indeed but I am sure that other VM users must be using protected
software licenses too so same solution at hand, probably network
license. Still its more work to insert another layer.

FWIW alot of VM issues get discussed on OSNews since all the vendors
are constantly in the news.

> cds


Article: 102127
Subject: Re: CoolRunner XPLA3 thriving for many years to come
From: Jim Granville <no.spam@designtools.co.nz>
Date: Thu, 11 May 2006 10:07:48 +1200
Links: << >>  << T >>  << A >>
Antti Lukats wrote:
> 
> Maybe I should buy speakers and hear the audio of the webcast also :)

yes :)

IIRC, one of the questions was about SDIO, so you could
email Jesse Jenkins and ask him.
He did say to email any followups...

-jg


Article: 102128
Subject: Re: booting problem ML300 :eth0: Could not read PHY control register; err
From: "chakra" <narashimanc@gmail.com>
Date: 10 May 2006 15:10:52 -0700
Links: << >>  << T >>  << A >>
I forgot to add this. I have a root file system created by using the
"klingauf" mkrootfs script. I placed the root file system on my linux
host at /opt/ml300_rootfs. In the kernel .config file i made using make
menuconfig, i included the following config cmd line in the "General
Setup" section

CONFIG_CMDLINE="console=ttyS0,38400 root=/dev/nfs
nfsaddrs= 192.168.1.10:192.168.1.5:192.168.1.1:255.255.255.0 rw
nfsroot=/opt/ml300_rootfs "

I used the compact flash to boot the kernel . The kernel boots prperly.
but then the error  given above comes in.


Article: 102129
Subject: Re: Opteron HT coprocessors
From: Oleg O. <un-2006spr@sashos.com>
Date: Wed, 10 May 2006 23:08:57 +0000 (UTC)
Links: << >>  << T >>  << A >>
In article <1146246311.256472.241490@j73g2000cwa.googlegroups.com>,
JJ <johnjakson@gmail.com> wrote:
>In comp.arch (and others) there is a thread on this Opteron Virtex4
>coprocessor that sits in socket 940.

Here's a similar product from another company, using Altera's Stratix II:

http://www.xtremedatainc.com/Products.html


-- 
 oleg  |	The "From" address is temporary.
  @    | <----	If expired, use this one.
sashos |
 .com  |	"Can you count to 1023 on your fingers?"

Article: 102130
Subject: Re: PCI Express and DMA
From: Mark McDougall <markm@vl.com.au>
Date: Thu, 11 May 2006 10:08:33 +1000
Links: << >>  << T >>  << A >>
Antti wrote:

> easier ride? how much easier?

As I said:

 >> If you're doing windows and need a 'grass-roots' high performance
 >> driver, prepare yourself for a frustrating and challenging time.

 > PS actually linux device drivers are fun, I agree, but quick dirty
 > direct hardware programming on WinXP is simple as well.

There's several options these days to make life a lot easier on Windows, 
for example the Jungo tools, TVICPCI etc. But to some extent it depends 
on what type of driver you're writing, what performance you need, and 
what versions of windows you need to support.

A big part of the time/effort is simply ramping up on windows device 
drivers - working out what *type* of driver you need to write (is it 
WDM? native kernel mode? VxD? upper/lower filter? HID?) - sometimes you 
even need 2 drivers! - and how it fits into the whole mess.

Years and years ago I spent *months* writing a SCSI miniport driver for 
95/NT4/2K, which included support calls to M$. Once I'd finished, it 
took me 3 days get a basic port running on Linux, and I'd *never* 
written a Linux device driver before.

Regards,

-- 
Mark McDougall, Engineer
Virtual Logic Pty Ltd, <http://www.vl.com.au>
21-25 King St, Rockdale, 2216
Ph: +612-9599-3255 Fax: +612-9599-3266

Article: 102131
Subject: Re: Altera Equiv.
From: "Rob" <robnstef@frontiernet.net>
Date: Thu, 11 May 2006 01:12:39 GMT
Links: << >>  << T >>  << A >>
I believe the cross-overs would be something like this, although I could be 
mistaken.

CoolRunnerII - MAXII Plus
Spartan3/3e - Cyclone/CycloneII
VirtexII - Stratix/StratixII

I've used both  the Virtex II Pro and the Stratix Series devices, and for 
any of  my applications both would have worked.  Each mfg has different 
strengths in each category; but I haven't found (doesn't mean there isn't) 
any one convincing spec that puts one so much above the other.   There have 
been past posts (ad nauseam) dealing with the topic of whose FPGA rules the 
world.  Some of it is pride, some ignorance, some just marketing magic. 
Bottom line is both can probably get you where you want to go.

I primarily use Altera because the company I work for happened to choose 
them as a preferred vendor.  Our typical applications deal with video 
processing, and either mfg can doubtless play strong in this arena.

The MAXII Plus devices can be clocked at impressive speeds for a PLD, and 
quite inexpensive in quantity.

Rob



"Eli Hughes" <emh203@psu.edu> wrote in message 
news:e3so95$1e3o$1@f04n12.cac.psu.edu...
>I have a question for those experienced with Altera devices.  Could someone 
>please identify (roughly) the Brand A equivilents of these brand X parts:
>
> CoolRunner II
> Spartan 3/3e
> Virtex II
>
> I am not trying to be a flame baiter, but I would really like to hear 
> other people's thoughts of Altera's equivlents.  I used to use the Altera 
> CPLD (MAX7000) a long time ago and had a good experience.  What are the 
> FPGA devices like.
>
>
> Thanks again.
> -Eli 



Article: 102132
Subject: Re: Altera Max Plus II to Quartus migration tool
From: "Keith Williams" <e_s_p_i_a_n_@insightbb.com>
Date: Wed, 10 May 2006 22:47:11 -0400
Links: << >>  << T >>  << A >>
Thanks to those who replied.  I'll post the results on how the transition
went.

Keith

"Keith Williams" <e_s_p_i_a_n_@insightbb.com> wrote in message
news:gMadnZ4JxuPC-fzZnZ2dnUVZ_smdnZ2d@insightbb.com...
> I have a fairly large Altera-based design that will soon be updated to
> Cyclone II and Quartus (from Flex10K and Max+II).
>
> Has anyone else been through this migration that would be willing to share
> any gotchas?  Is the migration tool in Quartus worthwhile?
>
> Thanks,
>
> Keith
>
>



Article: 102133
Subject: Xilinx warning for DCM
From: "srini" <g.shrinivasan@gmail.com>
Date: 10 May 2006 21:18:10 -0700
Links: << >>  << T >>  << A >>
Hi,
I am using a DCM in VirtexII which takes a 20 Mhz input and generates a

60 Mhz output.
I am synthesizing using Synplify Pro and using Xilinx ISE 7.1 for PAR.
After PAR, I am getting a warning that the CLKO output from the DCM is
less than 24 MHz. I know that the min. output freq. from the DCM should

be 24 Mhz. In my case, I am getting a 60 MHz output from the CLKFX pin
of the DCM and I am not using the CLKO pin. So, I would like to know
whether this warning will create some problem in the timing of the
clocks in the design. 

Thanks & Regards, 
Srini.


Article: 102134
Subject: reverse engineering ?
From: "binaryboy" <navarajci@gmail.com>
Date: 10 May 2006 22:19:00 -0700
Links: << >>  << T >>  << A >>
is it easy to reverse engineer ASIC/FPGA ?
do u have any reference for this ?


Article: 102135
Subject: Re: CoolRunner XPLA3 thriving for many years to come
From: "Peter Alfke" <alfke@sbcglobal.net>
Date: 10 May 2006 22:26:38 -0700
Links: << >>  << T >>  << A >>
Jesse did answer Antti provtely vi e-mail.
Peter


Article: 102136
Subject: Re: Interrupt signal sampling (Level or edge?)
From: ghelbig@lycos.com
Date: 10 May 2006 22:29:53 -0700
Links: << >>  << T >>  << A >>
That's a different issue.  Let's back up a little.

You have an event, which you want to create an interrupt.  And this
event may be announced by a noisy signal.

If you have a "master clock" (you should) then the 1st thing to do is
synchronize the signal with to the clock.  (This is good practice for
any signal.)

There are dozens of ways of removing noise from a signal.  Debouncing
is one way, and ony way to debounce is clocked sampling.


Article: 102137
Subject: Re: Interrupt signal sampling (Level or edge?)
From: "Peter Alfke" <alfke@sbcglobal.net>
Date: 10 May 2006 22:43:00 -0700
Links: << >>  << T >>  << A >>
The issue boils down to response time.
If you can wait, then you can filter out all sorts of noise.
If you are in a hurry, then you cannot tolerate too much noise.
If you have lots of noise, and want to react immediately, then you have
a real problem...
Peter Alfke, Xilinx Applications


Article: 102138
Subject: Re: Xilinx warning for DCM
From: "Peter Alfke" <alfke@sbcglobal.net>
Date: 10 May 2006 22:46:59 -0700
Links: << >>  << T >>  << A >>
Just ignore the warning. You cannot use CLK0, but you are using CLKFX,
and you specified multiply by 3 and divide by 1.
Sometimes these warnings are over-eager...
Peter Alfke


Article: 102139
Subject: Re: reverse engineering ?
From: fpga_toys@yahoo.com
Date: 10 May 2006 22:47:18 -0700
Links: << >>  << T >>  << A >>

binaryboy wrote:
> is it easy to reverse engineer ASIC/FPGA ?
> do u have any reference for this ?

Easy is VERY relative to ones experience and resources. The straight
forward answer is that it's probably very very hard for you since you
are asking. For most mortals ASICs are also very very hard as well, and
relatively easy for those that do it every day for a living (requires
some signficant investments in equipment and training).  FPGAs with
built in crypto are a little tougher nut to crack, but far easier than
ASICs .... still takes some special equipment but far less work.
Standard FPGA bitstreams for most FPGAs requires a two step process,
first finding the map for the device (which may require reverse
engineering some vendor tools first) then writing a netlist generator
using the map from the bitstream. This requires the least skill, and
can be done by most mortals that understand programming at an
undergraduate level and basic FPGA architecture in somewhere between a
few weeks to a few months for someone fairly bright and skilled, a bit
longer if they have a difficult time playing deductive reasoning games
or lack programming experience. Turning the extracted netlist into a
usable readable design for modification or clean room specification is
fairly linear in time by it size ... which can be significantly reduced
if your reverse engineering tools can "reverse compile" the netlist
into a higher level form, such as good high level VHDL/Verilog or C.

There are professionals that do reverse engineering for a living ... we
find that the experience from each project greatly helps develop the
skills/experience for the next.

You might find boomerang on sf.net interesting ... binary ISA streams
are about the same difficulty as unencrypted bitstreams, with the minor
twist that most FPGA bit streams are undocumented, forcing a two step
learning curve.


Article: 102140
Subject: How can I deal with the output signal in testbech?
From: "Devlin" <springzzz@gmail.com>
Date: 10 May 2006 22:53:13 -0700
Links: << >>  << T >>  << A >>
hello:
I have a small count design, which I want to do  functional simlation
in modelsim. the code are following;
    module count4(cnt,clk)
    output [3:0] cnt;
    input clk;
    reg [3:0] cnt;

   always@(posedge clk)
     cnt = cnt +1;
   endmodule

This is just a 4 bits simple counter design. and I do not have the
reset signal in it.(just for  test).
you see that the modelsim treat "cnt" as x at initial time, so the
result can not be right.
So I want to modify the cnt signal in testbench, I done as follows:

   initial
     force cnt = 0;
    #500 release cnt;

and the clk is 100.
but the reesult is not right either.
My question is : How can I make the cnt to be a specific value in
testbench?
just not using the reset or preset, thanks.


Article: 102141
Subject: How can I get internal signal in modelsim.(Xlinx ISE),timing-simulation
From: "Devlin" <springzzz@gmail.com>
Date: 10 May 2006 23:03:28 -0700
Links: << >>  << T >>  << A >>
hi :

   I got another problem. I want to see the internal signal inside a
design but the result is not waht i want .

  I just simulate a distributed selectRAM generated in ISE. And I want
to see the signal before reaching the output pads of the viretex II
fpga.i.e. just the right output port of the distributed selectRAM.

  I found these net names in fpga editor and add them to modelsim
waves, just to find that they are the same with the output port of the
IO pin. Are they should be the same? I just want to see the timing
excluding the IOB delay.

  I hope I explain my problem clearly. thanks everybody.


Article: 102142
Subject: Re: Xilinx ISE 8.1 Makefile
From: Zara <yozara@terra.es>
Date: Thu, 11 May 2006 08:20:42 +0200
Links: << >>  << T >>  << A >>
On Tue, 09 May 2006 23:43:38 +0930, Sanka Piyaratna
<jayasanka.piyaratna@gmail.com> wrote:

>Hi,
>
>I am wondering if there is anyone who has worked out a way to use ISE 
>8.1 projects with Makefiles to compile FPGA images. I am actually 
>wondering if it would be possible to automatically generate a Makefile 
>from the project file.
>


FYI: Taking a look inside an .ise file, I think it is a sequence of
FIP files concatenated.

If you break the ISE file into lots of smaller files (dividing them by
the "PK" flags), you will get lots of zip files whose contents may be
peeked with a zip file extractor

Best regards,

Zara

Article: 102143
Subject: Re: Interrupt signal sampling (Level or edge?)
From: "Ashish" <ashish.shringarpure@gmail.com>
Date: 10 May 2006 23:40:30 -0700
Links: << >>  << T >>  << A >>

I have one model in which i have sampled interrupt on main clock  on
both the edges and if the both edged samples match, i take them grated
and proceed to initiate action. It gave me some noise immunity.
(>2*Fclk)

Actually these are related to Power failure and critical fault
conditions, when asserted will call for complete system switchover ,
the criticality is what matters here.

Just wanted to know is it a better practice to sample int on 'posedge'
and 'negedge' and 'AND' them to get that noise immunity.

Thanks you for your inputs.


Article: 102144
Subject: Re: Interrupt signal sampling (Level or edge?)
From: Jim Granville <no.spam@designtools.co.nz>
Date: Thu, 11 May 2006 19:03:52 +1200
Links: << >>  << T >>  << A >>
Ashish wrote:
> Hi,
> 
> What is the fair criteria for sampling the interrupt signal?
> 
> For high priority critical interrupts and low priority interrupts, when
> should i decide to configure them to be level triggered or edge
> triggered.

If this is an external signal, one thing to watch on level iterrupts,
is you need to wait for the line to release, before re-enable of the
interrupt - otherwise you simply re-enter the interrupt again.

-jg


Article: 102145
Subject: XCFxxP Plaform Flash Device Questions
From: Mark McDougall <markm@vl.com.au>
Date: Thu, 11 May 2006 17:04:38 +1000
Links: << >>  << T >>  << A >>
Hi,

Was wondering if anyone has used the XCFxxP devices with revision 
selection controlled via the internal REVSEL bits rather than the 
external REVSEL pins?

I'm assuming the REVSEL bits are, once programmed, persistent 
(non-volatile), yes? Is it possible to re-write the REVSEL bits without 
having to download a new bitstream as well?

Finally, can anyone see a problem with the FPGA bit-bashing the JTAG on 
the PROMs to re-program both bitstreams and REVSEL bit settings? And 
what about the FPGA sending the CONFIG command to the PROM to initiate a 
re-configuration of itself?

TIA
Regards,

-- 
Mark McDougall, Engineer
Virtual Logic Pty Ltd, <http://www.vl.com.au>
21-25 King St, Rockdale, 2216
Ph: +612-9599-3255 Fax: +612-9599-3266

Article: 102146
Subject: Re: XCFxxP Plaform Flash Device Questions
From: "Alan Nishioka" <alan@nishioka.com>
Date: 11 May 2006 00:12:38 -0700
Links: << >>  << T >>  << A >>
Mark McDougall wrote:
> Finally, can anyone see a problem with the FPGA bit-bashing the JTAG on
> the PROMs to re-program both bitstreams and REVSEL bit settings? And
> what about the FPGA sending the CONFIG command to the PROM to initiate a
> re-configuration of itself?

I haven't used any of the revsel features, so I can't answer that part,
but I have used the config command to re-config an fpga (where I forgot
to connect the prog line) and it works just fine.

But I think it would be better to use the prog line to initiate
re-config.

Alan Nishioka


Article: 102147
Subject: Re: XCFxxP Plaform Flash Device Questions
From: Mark McDougall <markm@vl.com.au>
Date: Thu, 11 May 2006 17:19:57 +1000
Links: << >>  << T >>  << A >>
Alan Nishioka wrote:

> I haven't used any of the revsel features, so I can't answer that
> part, but I have used the config command to re-config an fpga (where
> I forgot to connect the prog line) and it works just fine.

CONFIG was sent from/via the FPGA itself?

> But I think it would be better to use the prog line to initiate 
> re-config.

Momentarily forgot about that option - thanks!

Regards,

-- 
Mark McDougall, Engineer
Virtual Logic Pty Ltd, <http://www.vl.com.au>
21-25 King St, Rockdale, 2216
Ph: +612-9599-3255 Fax: +612-9599-3266

Article: 102148
Subject: sqrt(a^2 + b^2) in synthesizable VHDL?
From: "Marko S" <someone@microsoft.com>
Date: Thu, 11 May 2006 09:32:29 +0200
Links: << >>  << T >>  << A >>
How do i calculate sqrt(a^2 + b^2) in synthesizable VHDL?

The signals a and b are 32 bit signed fix point numbers (std_logic_vector 
(31 downto 0)).



Article: 102149
Subject: MicroBlaze GPIO 1-bit [resistor], funny story :)
From: "Antti" <Antti.Lukats@xilant.com>
Date: 11 May 2006 00:33:53 -0700
Links: << >>  << T >>  << A >>
Hi

A design engineer asked me if it should be ok to have an 1-bit GPIO in
MicroBlaze design, well yes I answered - after a short while.

But I am trying to use a single bit GPIO instance to capture the PENIRQ
signal from Analog Devices touch screen digitizer and well it all
doesnt seem to work. Ok, make then then GPIO port 2 bit wide and use
only one?

That was yesterday.
This morning I looked at the oscillocope capture of the pulse on the
PENIRQ - active low level was above 2 Volt.

Signal coming directly from Evaluation Board from Analog Devices, FPGA
disconnected from the signal!

Let me see the eval board schematics!
Schematic shows 50K pullup on PENIRQ and no other connections.

I guess its fitted with 50ohm I say.
(assuming the SMD assembly guy at Analog Devices messed up).

No I dont belive this, sure the board has passed testing at Analog
Devices, see here is a even label: "Test passed"!

Well lets measure!

And exactly 50ohm it was!

Not even a SMD but old style through hole resistor! Sure a 50 ohm
pullup on output specified for 10k-100k pullup would disable the low
level driving capability.

Why I am writing this to the newsgroup?

To give some earned credit to Xilinx - if there are more critical posts
about Xilinx chips or software, then it actually means there is huge
useage of them, and as we know things happen. So more (angry) posts
means more users, not that there is a reason to be angry. Less posts
doesnt mean better products or services, just less users.

It doesnt mean that I am completly happy with Xilinx software, but OTOH
I am not easy to please on tech matters.

Would I have posted yesterday, I could have been angry to EDK  that
doesnt properly implement a GPIO with 1 bit width.

Today the situation is different, the issue is not with EDK at all.

Hope this 1-bit resistor story triggered a few smiles today :)

Antti Lukats




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search