Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 112575

Article: 112575
Subject: query
From: "ram" <vsrpkumar@rediffmail.com>
Date: 24 Nov 2006 22:43:50 -0800
Links: << >>  << T >>  << A >>
Hi
in quartus II 6.0  .I want to see the entire delay between two nodes
after post fitting.I am unable to find it.Can anyone help me how to do
it.Can anyone give material on how to do place and routing on FPGA or
atleast tips.I dont know TCL script and mine is classic timing analyser
Thanking you
kumar


Article: 112576
Subject: Re: logic analyzer using FPGA
From: "bm" <nospam@nospam.fr>
Date: Sat, 25 Nov 2006 09:48:29 +0100
Links: << >>  << T >>  << A >>
http://www.sump.org/projects/analyzer/

"hypermodest" <hypermodest@gmail.com> wrote in message 
news:1164394012.977690.199470@m7g2000cwm.googlegroups.com...
> Hi.
> Is there any ready open source (or not so open) logic analyzers based
> on FPGA + (S|D)RAM?
> I mean, we need to connect bunch of wires to FPGA, record all samples
> to RAM and download it somehow to PC.
> Also, is there any good starting points and/or known pitfalls, e.g.
> what should I read first?
> Thanks in advance.
> 



Article: 112577
Subject: Re: board - T562.jpg
From: John Fields <jfields@austininstruments.com>
Date: Sat, 25 Nov 2006 07:36:07 -0600
Links: << >>  << T >>  << A >>
On Fri, 24 Nov 2006 23:52:31 GMT, "Homer J Simpson"
<nobody@nowhere.com> wrote:

>
>"John Fields" <jfields@austininstruments.com> wrote in message 
>news:8e0fm29edjrukt15o3kt2sk24h8gh7hmkm@4ax.com...
>
>> You think Homer's pretty?
>>
>> Eeewwww!!!
>
>Women do.

---
And why wouldn't they?  They think of you as one of them.


-- 
JF

Article: 112578
Subject: Re: board - T562.jpg
From: John Fields <jfields@austininstruments.com>
Date: Sat, 25 Nov 2006 08:09:02 -0600
Links: << >>  << T >>  << A >>
On Sat, 25 Nov 2006 03:11:11 GMT, "Homer J Simpson"
<nobody@nowhere.com> wrote:

>
>"John Fields" <jfields@austininstruments.com> wrote in message 
>news:qj6fm2l042v4gmu7cd1nvcg96uc7ijg5j6@4ax.com...
>
>> Homer, I'm embarrassed for you.
>
>You embarass yourself - constantly.
>
>> You're nothing more than a parrot and I weep for the students who
>> are forced to attend your classes.
>
>No, it's YOUR students who are forced to attend. Mine are all paying 
>volunteers.

---
Wrong again.  Mine were all voluntary students, but the Army paid
the tab.

Actually, I quit teaching long ago since I found out that "Them that
can, do. Them that can't, teach."
 

-- 
JF

Article: 112579
Subject: Re: run a counter without a clock
From: "John Adair" <g1@enterpoint.co.uk>
Date: 25 Nov 2006 07:15:23 -0800
Links: << >>  << T >>  << A >>
Alessandro

You have not said what device you are trying to do this in. Generally
temperature, silicon batch, voltage will all affect the result and is
highly unpredictable. You may be better considering either board delays
or discrete delay line devices for better accuracy. Even the good old
RC time constant may be more accurate than using silicon delays
especially if you use a high accuracy voltage comparitor.

John Adair
Enterpoint Ltd.

Al wrote:
> Hi to everyone, I'm trying to generate a 5-10 ns pulse width out of an
> edge, without the use of any clock, just with internal delays.
> Unfortunately I can imagine how much this delay will depend on
> temperature and voltage and how much the width will be affected, but
> still I have some margins (anyway everything will be tested in a thermal
> chamber to verify functionalities).
> So far I developed a sort of a "ripple-counter" with an auto-reset for
> each FF (Q(0) will asynchronously reset Q(0)) so that I can start an
> internal oscillation (a clock!).
> After that a normal counter can be realized on the basis of this clock,
> allowing to build a pulse. Once the pulse is over a reset is generated
> to stop asynchronously all the FFs.
> Does it make sense at all? I think no! :-)
>
> Any ideas?
>
> thanks a lot
> 
> Al
> 
> -- 
> Alessandro Basili
> CERN, PH/UGC
> Hardware Designer


Article: 112580
Subject: Double buffering
From: "dh2006" <davidhughes330@hotmail.com>
Date: 25 Nov 2006 08:27:17 -0800
Links: << >>  << T >>  << A >>
I've read much about Double Buffering, especially that it is good
practice (on Xilinx devices) to double buffer data signals (such as ADC
inputs), and place the double buffer in the IOB associated with the
pin.

Can someone explain to me, what double buffering is and why you would
use it?  Any links to reference information would be appreciated.

Many thanks.


Article: 112581
Subject: playing test SVF files for Spartan-3 Starter Board (using iMPACT ?)
From: florent.peyrard@gmail.com
Date: 25 Nov 2006 08:41:43 -0800
Links: << >>  << T >>  << A >>
Hi all,

I'd like to use my SVF files to test the board; I'm using iMPACT, but
it seems SVF can only be run to program devices and not to test it.
What to do?

Thanks in advance,
Florent


Article: 112582
Subject: Re: run a counter without a clock
From: Al <alessandro.basili@cern.ch>
Date: Sat, 25 Nov 2006 17:45:31 +0100
Links: << >>  << T >>  << A >>
John Adair wrote:
> Alessandro
> 
> You have not said what device you are trying to do this in. Generally
> temperature, silicon batch, voltage will all affect the result and is
> highly unpredictable. You may be better considering either board delays
> or discrete delay line devices for better accuracy. Even the good old
> RC time constant may be more accurate than using silicon delays
> especially if you use a high accuracy voltage comparitor.
> 

It's right, I'm sorry. I am using A54SX08A fpga from Actel and I did 
manage to make the pulse simply using a ripple-counter and getting the 
output on the combination of the value. Last bit in the counter will 
asynchronously reset the whole counter.
With 6 FFs in cascade the back-annotate simulation shows a 5-6 ns pulse, 
  this week I'm going to program the fpga and check.

Unfortunately I cannot change the board layout or add some other 
components, which would have been "cleaner", so I have to do it with the 
logic. What really worries me is the temperature sensitivity, that's why 
we are going to test it in a thermal chamber.
Anyway, based on the datasheet, it looks like that temperature derating 
factor, with 2.5V for VCCA (as it is in our case), will be 0.74 at -40 
°C and 0.97 at +85 °C (our temperature range), that's why I estimated a 
min-max difference of 20%.
If all these calculations are wrong (as they can be)then we will see a 
problem! :-)

Cheers,

Al


-- 
Alessandro Basili
CERN, PH/UGC
Hardware Designer

Article: 112583
Subject: Re: run a counter without a clock
From: Al <alessandro.basili@cern.ch>
Date: Sat, 25 Nov 2006 18:05:57 +0100
Links: << >>  << T >>  << A >>
An errata corrige to my previous post:

Al wrote:
> Anyway, based on the datasheet, it looks like that temperature derating 
> factor, with 2.5V for VCCA (as it is in our case), will be 0.74 at -40 
> °C and 0.97 at +85 °C (our temperature range), that's why I estimated a 
> min-max difference of 20%.
> If all these calculations are wrong (as they can be)then we will see a 
> problem! :-)
> 
The datasheet reports derating for Junction Temperature, which is 
strictly related to the power and is not ambient temperature at all. I 
will estimate power consumption from the external current absorption on 
my board, which has much more than a simple fpga!
The thermochamber will give much more answers!

Cheers

Al


-- 
Alessandro Basili
CERN, PH/UGC
Hardware Designer

Article: 112584
Subject: IE7 and ISE Help
From: "Roger" <enquiries@rwconcepts.co.uk>
Date: Sat, 25 Nov 2006 17:06:21 -0000
Links: << >>  << T >>  << A >>
Why doesn't the particular Help page display in IE7 when a Help button is 
clicked on in ISE?

TIA.

Rog. 



Article: 112585
Subject: Dev Kit Shipping Costs
From: "jacko" <jackokring@gmail.com>
Date: 25 Nov 2006 09:16:27 -0800
Links: << >>  << T >>  << A >>
hi

Ouch, the fedex costs, must be a gold plated envelope from altera. But
having said that I should have a MAX II development kit soon to do
http://indi.microfpga.com development.

Should be more informative on the order page of the shipping costs.

Cheers


Article: 112586
Subject: Aurora 2.4 error
From: "Roger" <enquiries@rwconcepts.co.uk>
Date: Sat, 25 Nov 2006 17:48:33 -0000
Links: << >>  << T >>  << A >>
When I try to Implement a design with an Aurora core (v2.4) in it I get the 
following error:

ERROR:NgdBuild:753 - Line 68 in 'toplevel.ucf': Could not find instance(s)
   'Inst_aurora_sample/aurora_module_i/lane_0_phase_align_i/phase_align_flops_r*
   ' in the design.  To suppress this error specify the correct instance 
name or
   remove the constraint.

The path to the FFs is correct.

If I comment the following lines in "phase_align.vhd", it all seems to work 
OK:

-- Attribute Declaration
attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of RTL: architecture is "true";

Does anyone know what's going on here please?

TIA,

Rog. 



Article: 112587
Subject: Re: board - T562.jpg
From: "Homer J Simpson" <nobody@nowhere.com>
Date: Sat, 25 Nov 2006 19:01:44 GMT
Links: << >>  << T >>  << A >>

"John Fields" <jfields@austininstruments.com> wrote in message 
news:v3hgm2dd50sm333mhjo988imiorru4e5ih@4ax.com...

>>> You think Homer's pretty?
>>>
>>> Eeewwww!!!
>>
>>Women do.

> And why wouldn't they?  They think of you as one of them.

They're all straight - unlike you.




Article: 112588
Subject: Re: Altera configuration with microcontroller
From: "Nevo" <nevo_n@hotmail.com>
Date: Sat, 25 Nov 2006 19:10:10 GMT
Links: << >>  << T >>  << A >>
> I suspect it will be quicker to get it working from scratch yourself than 
> to try and munge someone else's design into your own specific platform.
>

Will,

Thanks. I think that's probably the road I'll choose.




Article: 112589
Subject: Re: board - T562.jpg
From: "Homer J Simpson" <nobody@nowhere.com>
Date: Sat, 25 Nov 2006 19:14:01 GMT
Links: << >>  << T >>  << A >>

"John Fields" <jfields@austininstruments.com> wrote in message 
news:icjgm25adv16uhp51ahftmbqpl824dnafh@4ax.com...

> Wrong again.  Mine were all voluntary students, but the Army paid
> the tab.
>
> Actually, I quit teaching long ago since I found out that "Them that
> can, do. Them that can't, teach."

Which is far from true. Some of us do it all.






Article: 112590
Subject: Re: board - T562.jpg
From: "PeteS" <PeterSmith1954@googlemail.com>
Date: 25 Nov 2006 12:08:01 -0800
Links: << >>  << T >>  << A >>
Homer J Simpson wrote:

> "John Fields" <jfields@austininstruments.com> wrote in message
> news:icjgm25adv16uhp51ahftmbqpl824dnafh@4ax.com...
>
> > Wrong again.  Mine were all voluntary students, but the Army paid
> > the tab.
> >
> > Actually, I quit teaching long ago since I found out that "Them that
> > can, do. Them that can't, teach."
>
> Which is far from true. Some of us do it all.

I used to teach formally (and any of us not effectively teaching are
not doing the job right anyway), and my take is:

Those that can, teach.
Those that can't become managers

Cheers

PeteS


Article: 112591
Subject: Re: board - T562.jpg
From: John Fields <jfields@austininstruments.com>
Date: Sat, 25 Nov 2006 14:25:29 -0600
Links: << >>  << T >>  << A >>
On Sat, 25 Nov 2006 19:14:01 GMT, "Homer J Simpson"
<nobody@nowhere.com> wrote:

>
>"John Fields" <jfields@austininstruments.com> wrote in message 
>news:icjgm25adv16uhp51ahftmbqpl824dnafh@4ax.com...
>
>> Wrong again.  Mine were all voluntary students, but the Army paid
>> the tab.
>>
>> Actually, I quit teaching long ago since I found out that "Them that
>> can, do. Them that can't, teach."
>
>Which is far from true. Some of us do it all.

---
I can, but so far I haven't seen a lot from you design-wise that
says you can too.  Got anything you'd like to share?  And these are
hardware groups, don't forget.

 
-- 
JF

Article: 112592
Subject: Re: board - T562.jpg
From: John Fields <jfields@austininstruments.com>
Date: Sat, 25 Nov 2006 14:28:11 -0600
Links: << >>  << T >>  << A >>
On Sat, 25 Nov 2006 19:01:44 GMT, "Homer J Simpson"
<nobody@nowhere.com> wrote:

>
>"John Fields" <jfields@austininstruments.com> wrote in message 
>news:v3hgm2dd50sm333mhjo988imiorru4e5ih@4ax.com...
>
>>>> You think Homer's pretty?
>>>>
>>>> Eeewwww!!!
>>>
>>>Women do.
>
>> And why wouldn't they?  They think of you as one of them.
>
>They're all straight - unlike you.

---
Ho-hum... Yes, Homer, they're straight.  What does that say about
you? 


-- 
JF

Article: 112593
Subject: Re: board - T562.jpg
From: Jim Thompson <To-Email-Use-The-Envelope-Icon@My-Web-Site.com>
Date: Sat, 25 Nov 2006 13:36:37 -0700
Links: << >>  << T >>  << A >>
On 25 Nov 2006 12:08:01 -0800, "PeteS" <PeterSmith1954@googlemail.com>
wrote:

>Homer J Simpson wrote:
>
>> "John Fields" <jfields@austininstruments.com> wrote in message
>> news:icjgm25adv16uhp51ahftmbqpl824dnafh@4ax.com...
>>
>> > Wrong again.  Mine were all voluntary students, but the Army paid
>> > the tab.
>> >
>> > Actually, I quit teaching long ago since I found out that "Them that
>> > can, do. Them that can't, teach."
>>
>> Which is far from true. Some of us do it all.
>
>I used to teach formally (and any of us not effectively teaching are
>not doing the job right anyway), and my take is:
>
>Those that can, teach.
>Those that can't become managers
>
>Cheers
>
>PeteS

You have it wrong, it's...

Those that can, do.

Those that can't, flip burgers.

Those that can't flip burgers, work check-out at Fry's Electronics.

Those that can't work check-out at Fry's Electronics, teach.

Those that can't teach, become managers.

Those that can't manage, they hang out on S.E.D hiding behind some
hideous nom de plume.

                                        ...Jim Thompson
-- 
|  James E.Thompson, P.E.                           |    mens     |
|  Analog Innovations, Inc.                         |     et      |
|  Analog/Mixed-Signal ASIC's and Discrete Systems  |    manus    |
|  Phoenix, Arizona            Voice:(480)460-2350  |             |
|  E-mail Address at Website     Fax:(480)460-2142  |  Brass Rat  |
|       http://www.analog-innovations.com           |    1962     |
             
I love to cook with wine.      Sometimes I even put it in the food.

Article: 112594
Subject: Re: board - T562.jpg
From: "Homer J Simpson" <nobody@nowhere.com>
Date: Sat, 25 Nov 2006 20:40:11 GMT
Links: << >>  << T >>  << A >>

"John Fields" <jfields@austininstruments.com> wrote in message 
news:dm9hm2d054g47sqf074b6vgm012g1sp81k@4ax.com...

>>They're all straight - unlike you.

> Ho-hum... Yes, Homer, they're straight.  What does that say about
> you?

Try to follow along. Straight women prefer straight men. That's what it says 
about me.

And you?




Article: 112595
Subject: Re: board - T562.jpg
From: "Homer J Simpson" <nobody@nowhere.com>
Date: Sat, 25 Nov 2006 20:40:11 GMT
Links: << >>  << T >>  << A >>

"PeteS" <PeterSmith1954@googlemail.com> wrote in message 
news:1164485281.237408.305840@l12g2000cwl.googlegroups.com...

>> Which is far from true. Some of us do it all.
>
> I used to teach formally (and any of us not effectively teaching are
> not doing the job right anyway), and my take is:
>
> Those that can, teach.
> Those that can't become managers

Ain't that the truth!




Article: 112596
Subject: Re: board - T562.jpg
From: "Homer J Simpson" <nobody@nowhere.com>
Date: Sat, 25 Nov 2006 20:40:11 GMT
Links: << >>  << T >>  << A >>

"John Fields" <jfields@austininstruments.com> wrote in message 
news:og9hm2pru2v1hhesp81p3albrcqg6sghvc@4ax.com...

> I can, but so far I haven't seen a lot from you design-wise that
> says you can too.  Got anything you'd like to share?  And these are
> hardware groups, don't forget.

You want a description of the HVAC systems I designed for multi story 
buildings? They ran over 100 pages for the maintenance manual and 40 full 
sized drawings IIRC.




Article: 112597
Subject: Re: board - T562.jpg
From: "Homer J Simpson" <nobody@nowhere.com>
Date: Sat, 25 Nov 2006 20:52:26 GMT
Links: << >>  << T >>  << A >>

"Jim Thompson" <To-Email-Use-The-Envelope-Icon@My-Web-Site.com> wrote in 
message news:nu9hm2p9iofkct7kjt6vuo0td93fu2bmb2@4ax.com...

> Those that can't manage, they hang out on S.E.D hiding behind some
> hideous nom de plume.

"Jim Thompson" isn't THAT hideous a nom de plume.




Article: 112598
Subject: Re: Verilog problem: default case to set signal xxxx
From: "Rob Dekker" <rob@verific.com>
Date: Sat, 25 Nov 2006 22:34:13 GMT
Links: << >>  << T >>  << A >>

"Petter Gustad" <newsmailcomp6@gustad.com> wrote in message news:7dr6vsptly.fsf@www.gratismegler.no...
> "Davy" <zhushenli@gmail.com> writes:
>
>> But as we know, there is no xxxx signal in real digital world. So is
>> there any better method to solve the problem?
>
> Maybe:
>
> case(branch)
>   ... ...
>   [all the possible branch]
>   ... ...
> // synthesis translate_off
>   default: signal = 8'bx;
> // synthesis translate_on
> endcase

This (the synthesis pragma's) is a very, very bad idea.

Synthesis tools will not see the x assignment, so they will have to hold the value of 'signal' under the default condition.
That can lead to spurious latches, or at least to excess logic...
The x assignments are very important to synthesis tools, since then they know that in that state, the value of 'signal'
is not important (don't care). Then they can use that to minimize the logic needed to implement the values that ARE important.

So, x-assignments are good for simulation (so you can see when your design is in an invalid state), AND
they are good for synthesis, because synthesis tools now know that that state is irrelevant (and can thus minimize they logic 
accordingly).

Rob



Article: 112599
Subject: Re: board - T562.jpg
From: "PeteS" <PeterSmith1954@googlemail.com>
Date: 25 Nov 2006 15:05:26 -0800
Links: << >>  << T >>  << A >>
Jim Thompson wrote:

> On 25 Nov 2006 12:08:01 -0800, "PeteS" <PeterSmith1954@googlemail.com>
> wrote:
>
> >Homer J Simpson wrote:
> >
> >> "John Fields" <jfields@austininstruments.com> wrote in message
> >> news:icjgm25adv16uhp51ahftmbqpl824dnafh@4ax.com...
> >>
> >> > Wrong again.  Mine were all voluntary students, but the Army paid
> >> > the tab.
> >> >
> >> > Actually, I quit teaching long ago since I found out that "Them that
> >> > can, do. Them that can't, teach."
> >>
> >> Which is far from true. Some of us do it all.
> >
> >I used to teach formally (and any of us not effectively teaching are
> >not doing the job right anyway), and my take is:
> >
> >Those that can, teach.
> >Those that can't become managers
> >
> >Cheers
> >
> >PeteS
>
> You have it wrong, it's...
>
> Those that can, do.
>
> Those that can't, flip burgers.
>
> Those that can't flip burgers, work check-out at Fry's Electronics.
>
> Those that can't work check-out at Fry's Electronics, teach.
>
> Those that can't teach, become managers.
>
> Those that can't manage, they hang out on S.E.D hiding behind some
> hideous nom de plume.
>
>                                         ...Jim Thompson
> --
> |  James E.Thompson, P.E.                           |    mens     |
> |  Analog Innovations, Inc.                         |     et      |
> |  Analog/Mixed-Signal ASIC's and Discrete Systems  |    manus    |
> |  Phoenix, Arizona            Voice:(480)460-2350  |             |
> |  E-mail Address at Website     Fax:(480)460-2142  |  Brass Rat  |
> |       http://www.analog-innovations.com           |    1962     |
>
> I love to cook with wine.      Sometimes I even put it in the food.

Amusing

I was actually being serious for a moment. Teachers (as opposed to
lecturers) must have a fire inside if they are actually _teachers_. The
best teachers _are_designers, for that reason. I was not always the
most popular, but I was always the most respected.

I happen to respect your abilities (not that I always say so) because I
know what design takes; indeed I am a designer, of boards not chips. Do
you know what it takes to _really_ teach? (that's not a rhetorical
question).

Cheers

PeteS




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search