Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 150200

Article: 150200
Subject: Re: I Give Up!
From: Petter Gustad <newsmailcomp6@gustad.com>
Date: Fri, 31 Dec 2010 08:02:02 +0100
Links: << >>  << T >>  << A >>
rickman <gnuarm@gmail.com> writes:

> The spam in Google groups has only gotten worse lately and there seems
> to be no end in sight.  This group is getting hard to find the real

I'm using news.eternal-september.org as my nntp server and hardly see
any spam. I also prefer gnus/emacs over any web interface.

//Petter


-- 
.sig removed by request. 

Article: 150201
Subject: Re: Verilog inout, I2C
From: "maxascent" <maxascent@n_o_s_p_a_m.n_o_s_p_a_m.yahoo.co.uk>
Date: Fri, 31 Dec 2010 03:02:23 -0600
Links: << >>  << T >>  << A >>
>
>>> On Dec 28, 9:03=A0am, "maxascent" wrote:
>
>> I dont see how it can be harder to understand unless you have no idea
how
>> an IO buffer works and if thats the case you shouldnt be doing FPGA
design.
>
>What if I am using an Altera device?
>Your scheme does not work at all.
>Andy's works either way.
>
>   -- Mike Treseler
>

To be honest I dont think you can create IP that is totally vender neutral
as they all have different ways of doing things. I accept for a simple IO
buffer you could infer rather that instantiate but some things would be
much harder to infer.

Jon	   
					
---------------------------------------		
Posted through http://www.FPGARelated.com

Article: 150202
Subject: Re: I Give Up!
From: Jonathan Bromley <spam@oxfordbromley.plus.com>
Date: Fri, 31 Dec 2010 09:53:15 +0000
Links: << >>  << T >>  << A >>
On Fri, 31 Dec 2010 08:02:02 +0100, Petter Gustad wrote:

>rickman writes:
>> The spam in Google groups has only gotten worse lately
>> and there seems to be no end in sight.  
>
>I'm using news.eternal-september.org as my nntp server 
>and hardly see any spam. 

Me too; I don't know how eternal-september does its 
filtering but it works pretty well.  And it only
very rarely hides valid messages.

In the last month or two, though, even that filtering 
has been swamped by the volume of trash and I'm seeing 
occasional junk messages - maybe three per week.

The rot is deeper than that, though.  The three
IC-related technology groups that I used to follow
regularly are no longer of much interest to me,
and I strongly suspect I'm not alone in that:

c.l.verilog has essentially NO meaningful traffic now.
It used to be one of my primary learning and discussion
resources for Verilog, but now I have abandoned it.
I think the same has happened to most of the regulars.

c.l.vhdl still has homework-ish questions (probably
fed from fpgarelated or somesuch?) but very little
professional-level traffic.  I still monitor it
occasionally, but haven't contributed for weeks.

By contrast, c.a.fpga still gets a decent (but, I
think, decreasing) density of useful content.  It's
not very focused on my own core activity, but it
seems still to be working reasonably well apart
from the spam cesspool.

So, what's going on?  Do Verilog and VHDL 
practitioners no longer wish to share their 
experiences in a Net forum?  That sounds unlikely;
so where have they gone?  Possibly to forum sites
that have a stronger focus on application rather
than language - Verification Guild or OVM World
for verification people, for example.  That might
also explain why c.a.fpga continues to thrive while
the language groups are moribund.  The forums 
are spam-free, and although the web interface 
seems astonishingly clunky to me by comparison 
with the simplicity of a decent newsreader, 
it seems to be the way people want to go.  

The implied shift away from interest in the 
languages themselves is fascinating too.  Does 
everyone think that the languages are a done deal, 
and no-one needs to talk about them any more?  Are
the published books and resources so good nowadays 
that no-one needs to check with a live human?  
Or has everyone gone to some place else that 
I'm insufficiently Net-savvy to know about?

I shall look back on the end of 2010 with some
sadness.  I've been using various newsgroups for
about fifteen years now, and they've given me 
much pleasure, information and insight.  But that
era is over; the Internet is now a very different
place, and I'm much less at home there than 
I used to be.  Relentless march of progress, or
merely the tragedy of the commons?  Someone else
must judge...
-- 
Jonathan Bromley

Article: 150203
Subject: Re: I Give Up!
From: saar drimer <saardrimer@gmail.com>
Date: Fri, 31 Dec 2010 03:14:40 -0800 (PST)
Links: << >>  << T >>  << A >>
On Dec 31, 9:53=A0am, Jonathan Bromley <s...@oxfordbromley.plus.com>
wrote:
> By contrast, c.a.fpga still gets a decent (but, I
> think, decreasing) density of useful content. =A0It's
> not very focused on my own core activity, but it
> seems still to be working reasonably well apart
> from the spam cesspool.

<snip>

> Or has everyone gone to some place else that
> I'm insufficiently Net-savvy to know about?

People still need support.

CAF is a great resource (no other place like it online) if you are
already an expert, but it can be intimidating and unwelcoming (and
occasionally hostile) to new users. So, newcomers don't tend to stick
around and the community here shrinks rather than grows. But I don't
think that that is the main reason for the demise of the HDL/FPGA
usenet forums. The younger crowed is more comfortable with newer types
of community-based support, ones that prioritize finding answers
quickly first, then reputation building, and then discussion. The
Xilinx and Altera forums that were revamped a few years ago have these
elements, and that's where many go for answers. Others go to sites
like stackexchange.com, but there are few FPGA experts there. There
are other community support sites out there, but there isn't a single
obvious place to go to for support, particularly for anything that
isn't very vendor specific, like "MAP failed with error 7563, what
does that mean?"

The "FPGA/HDL design" community is fragmented, and it's a shame -- we
could have it so much better. I've tried to do something about it by
proposing this:

  http://area51.stackexchange.com/proposals/20632/programmable-logic-and-fp=
ga-design

see my previous CAF post here:

  http://groups.google.com/group/comp.arch.fpga/browse_thread/thread/e45262=
99628848b7

Similarly, others have started this:

  http://www.overmapped.com

One forum needs to reach critical mass so we could have a decent,
effective, modern, online community. Usenet, vendor-specific, and
platform-specific sites aren't good candidates for this in my
opinion.

cheers,
saar.


Article: 150204
Subject: Re: I Give Up!
From: Brian Drummond <brian_drummond@btconnect.com>
Date: Fri, 31 Dec 2010 11:48:29 +0000
Links: << >>  << T >>  << A >>
On Fri, 31 Dec 2010 09:53:15 +0000, Jonathan Bromley
<spam@oxfordbromley.plus.com> wrote:

>On Fri, 31 Dec 2010 08:02:02 +0100, Petter Gustad wrote:
>
>>rickman writes:
>>> The spam in Google groups has only gotten worse lately
>>> and there seems to be no end in sight.  
>>
>>I'm using news.eternal-september.org as my nntp server 
>>and hardly see any spam. 
>
>Me too; I don't know how eternal-september does its 
>filtering but it works pretty well.  

>The rot is deeper than that, though.  The three
>IC-related technology groups that I used to follow
>regularly are no longer of much interest to me,
>and I strongly suspect I'm not alone in that:

Definitely agree with that...

>So, what's going on?  Do Verilog and VHDL 
>practitioners no longer wish to share their 
>experiences in a Net forum?  That sounds unlikely;
>so where have they gone?  Possibly to forum sites
>that have a stronger focus on application rather
>than language - Verification Guild or OVM World
>for verification people, for example. 

I think the vendor-specific forums (fora, dammit!)
and other fragmented, closed solutions have won. Nobody has time to track
everything - if you spend an hour a day on the Xilinx fora, and another on
StackRelated or FPGAOverflow and another on Facebook, (I presume there 
are FPGA areas or groups or something on Facebook) there's not much time left
for Usenet, let alone actual work...

There may be some good stuff on some of these, but in a fragmented fashion that
is much less useful than we used to find here. And much harder to find...

I'm on comp.arch.fpga, comp.lang.vhdl, and comp.lang.ada - the latter is
unfashionable enough to have been overlooked by most of the spammers and
destructive interests, so still a really useful group.

>I shall look back on the end of 2010 with some
>sadness.  I've been using various newsgroups for
>about fifteen years now, and they've given me 
>much pleasure, information and insight.  But that
>era is over; the Internet is now a very different
>place, and I'm much less at home there than 
>I used to be.  Relentless march of progress, or
>merely the tragedy of the commons?  Someone else
>must judge...

Change is probably inevitable, and maybe it's getting time for Usenet to go the
way of the morse amateur bands, and the telegraph.

Sadly.

- Brian

Article: 150205
Subject: Re: Verilog inout, I2C
From: "Phil Jessop" <phil@noname.org>
Date: Fri, 31 Dec 2010 12:01:54 -0000
Links: << >>  << T >>  << A >>

"maxascent" <maxascent@n_o_s_p_a_m.n_o_s_p_a_m.yahoo.co.uk> wrote in message 
news:F6WdnekcJseCAYDQnZ2dnUVZ_qidnZ2d@giganews.com...
> >
>>>> On Dec 28, 9:03=A0am, "maxascent" wrote:
>>
>>> I dont see how it can be harder to understand unless you have no idea
> how
>>> an IO buffer works and if thats the case you shouldnt be doing FPGA
> design.
>>
>>What if I am using an Altera device?
>>Your scheme does not work at all.
>>Andy's works either way.
>>
>>   -- Mike Treseler
>>
>
> To be honest I dont think you can create IP that is totally vender neutral
> as they all have different ways of doing things. I accept for a simple IO
> buffer you could infer rather that instantiate but some things would be
> much harder to infer.
>

Kind of defeats the object of an HDL then. Code portability was always 
touted as *THE* reason to use HDL. Without that I fail to see any advantage 
at all over a hierarchical graphical structure with embedded code - even 
using a schematic with vendor provided megawizards.

Phil 



Article: 150206
Subject: Re: Verilog inout, I2C
From: "maxascent" <maxascent@n_o_s_p_a_m.n_o_s_p_a_m.yahoo.co.uk>
Date: Fri, 31 Dec 2010 06:42:01 -0600
Links: << >>  << T >>  << A >>
>
>"maxascent" <maxascent@n_o_s_p_a_m.n_o_s_p_a_m.yahoo.co.uk> wrote in
message 
>news:F6WdnekcJseCAYDQnZ2dnUVZ_qidnZ2d@giganews.com...
>> >
>>>>> On Dec 28, 9:03=A0am, "maxascent" wrote:
>>>
>>>> I dont see how it can be harder to understand unless you have no idea
>> how
>>>> an IO buffer works and if thats the case you shouldnt be doing FPGA
>> design.
>>>
>>>What if I am using an Altera device?
>>>Your scheme does not work at all.
>>>Andy's works either way.
>>>
>>>   -- Mike Treseler
>>>
>>
>> To be honest I dont think you can create IP that is totally vender
neutral
>> as they all have different ways of doing things. I accept for a simple
IO
>> buffer you could infer rather that instantiate but some things would be
>> much harder to infer.
>>
>
>Kind of defeats the object of an HDL then. Code portability was always 
>touted as *THE* reason to use HDL. Without that I fail to see any
advantage 
>at all over a hierarchical graphical structure with embedded code - even 
>using a schematic with vendor provided megawizards.
>
>Phil 
>
>
>

I guess the problem is that the fpga vendors over the years have started
putting more complex hard IP into their devices which have to be
instantiated. Personally I try and avoid using Coregen as much as possible
as I dont think it gives the best implementation of things like FIFOs and
memories, and don't even get me started on MIG. 

Jon 	   
					
---------------------------------------		
Posted through http://www.FPGARelated.com

Article: 150207
Subject: Re: I Give Up!
From: Chris Abele <ccabele@yahoo.com>
Date: Fri, 31 Dec 2010 10:24:38 -0500
Links: << >>  << T >>  << A >>
On 12/31/2010 4:53 AM, Jonathan Bromley wrote:
> On Fri, 31 Dec 2010 08:02:02 +0100, Petter Gustad wrote:
>
>> rickman writes:
>>> The spam in Google groups has only gotten worse lately
>>> and there seems to be no end in sight.
>>
>> I'm using news.eternal-september.org as my nntp server
>> and hardly see any spam.
>
> Me too; I don't know how eternal-september does its
> filtering but it works pretty well.  And it only
> very rarely hides valid messages.

I've also found eternal-september's filtering to be very good.  But it 
can be slow - I've been using "news.individual.net" too, which seems to 
have similarly effective filtering but with better speed.  It does have 
a small fee though (10 euro/year, currently ~13USD).

<snip>
>
> The implied shift away from interest in the
> languages themselves is fascinating too.  Does
> everyone think that the languages are a done deal,
> and no-one needs to talk about them any more?  Are
> the published books and resources so good nowadays
> that no-one needs to check with a live human?
> Or has everyone gone to some place else that
> I'm insufficiently Net-savvy to know about?

If anyone does discover the Lost World of HDL expert discussions please 
do post here.  As a newby in HDL I haven't been able to make useful 
contributions, but I've been reading this newsgroup for some time now 
and have learned a great deal. I really hope that this sort of resource 
doesn't get completely disappear from the net.

And I would like to state that I really appreciate the fact that people 
with deep knowledge and experience will spend their time providing 
guidance to those of us who really need it. Jonathan - I've found your 
contributions to be especially valuable, as they are always informative 
and often provide a broader perspective that goes beyond the issue 
raised by the OP.  Besides that they're invariably well written and 
often entertaining.

>
> I shall look back on the end of 2010 with some
> sadness.  I've been using various newsgroups for
> about fifteen years now, and they've given me
> much pleasure, information and insight.  But that
> era is over; the Internet is now a very different
> place, and I'm much less at home there than
> I used to be.  Relentless march of progress, or
> merely the tragedy of the commons?  Someone else
> must judge...

I hate to think that newsgroups are dying, but just in case we're 
nearing the end let me thank the many experienced contributors to this 
group who have illuminated some of the subtleties of VHDL for me.

Chris Abele

Article: 150208
Subject: Re: Verilog inout, I2C
From: Mike Treseler <mtreseler@gmail.com>
Date: Fri, 31 Dec 2010 11:30:27 -0800
Links: << >>  << T >>  << A >>
On 12/31/2010 1:02 AM, maxascent wrote:
>>
>>>> On Dec 28, 9:03=A0am, "maxascent" wrote:
>>
>>> I dont see how it can be harder to understand unless you have no idea
> how
>>> an IO buffer works and if thats the case you shouldnt be doing FPGA
> design.
>>
>> What if I am using an Altera device?
>> Your scheme does not work at all.
>> Andy's works either way.
>>
>>    -- Mike Treseler
>>
>
> To be honest I dont think you can create IP that is totally vender neutral
> as they all have different ways of doing things.

Sure. The pin numbers will be different, but the io description
can be generic.

> I accept for a simple IO
> buffer you could infer rather that instantiate but some things would be
> much harder to infer.

Two things. PLLs and asynchronous block ram.

      -- Mike Treseler

Article: 150209
Subject: Re: I Give Up!
From: Mike Treseler <mtreseler@gmail.com>
Date: Fri, 31 Dec 2010 17:05:22 -0800
Links: << >>  << T >>  << A >>
On 12/31/2010 1:53 AM, Jonathan Bromley wrote:

> So, what's going on?  Do Verilog and VHDL
> practitioners no longer wish to share their
> experiences in a Net forum?  That sounds unlikely;
> so where have they gone?

Some are busy looking for work.
Logic synthesis may be going the way of the mechanical cash register.
Interesting in theory. http://192.220.96.166/

> The implied shift away from interest in the
> languages themselves is fascinating too.  Does
> everyone think that the languages are a done deal,
> and no-one needs to talk about them any more?  Are
> the published books and resources so good nowadays
> that no-one needs to check with a live human?
> Or has everyone gone to some place else that
> I'm insufficiently Net-savvy to know about?

Given the plunging cost of virtual servers,
other languages are waxing.

> I shall look back on the end of 2010 with some
> sadness.  I've been using various newsgroups for
> about fifteen years now, and they've given me
> much pleasure, information and insight.  But that
> era is over; the Internet is now a very different
> place, and I'm much less at home there than
> I used to be.  Relentless march of progress, or
> merely the tragedy of the commons?  Someone else
> must judge...

HDLs may be on the wane, but the internet is not the cause.

                    -- Mike Treseler




Article: 150210
Subject: Re: I Give Up!
From: rickman <gnuarm@gmail.com>
Date: Fri, 31 Dec 2010 17:58:59 -0800 (PST)
Links: << >>  << T >>  << A >>
On Dec 31, 8:05=A0pm, Mike Treseler <mtrese...@gmail.com> wrote:
> On 12/31/2010 1:53 AM, Jonathan Bromley wrote:
>
> > So, what's going on? =A0Do Verilog and VHDL
> > practitioners no longer wish to share their
> > experiences in a Net forum? =A0That sounds unlikely;
> > so where have they gone?
>
> Some are busy looking for work.
> Logic synthesis may be going the way of the mechanical cash register.
> Interesting in theory.http://192.220.96.166/
>
> > The implied shift away from interest in the
> > languages themselves is fascinating too. =A0Does
> > everyone think that the languages are a done deal,
> > and no-one needs to talk about them any more? =A0Are
> > the published books and resources so good nowadays
> > that no-one needs to check with a live human?
> > Or has everyone gone to some place else that
> > I'm insufficiently Net-savvy to know about?
>
> Given the plunging cost of virtual servers,
> other languages are waxing.
>
> > I shall look back on the end of 2010 with some
> > sadness. =A0I've been using various newsgroups for
> > about fifteen years now, and they've given me
> > much pleasure, information and insight. =A0But that
> > era is over; the Internet is now a very different
> > place, and I'm much less at home there than
> > I used to be. =A0Relentless march of progress, or
> > merely the tragedy of the commons? =A0Someone else
> > must judge...
>
> HDLs may be on the wane, but the internet is not the cause.
>
> =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 -- Mike Treseler

Odd post.  Do you have a basis for saying logic synthesis is on the
wane?  What is replacing it?

Rick

Article: 150211
Subject: Re: I Give Up!
From: "Pete Fraser" <pfraser@covad.net>
Date: Fri, 31 Dec 2010 18:27:08 -0800
Links: << >>  << T >>  << A >>
"Mike Treseler" <mtreseler@gmail.com> wrote in message 
news:8o7cufF6ttU1@mid.individual.net...

> Logic synthesis may be going the way of the mechanical cash register.
> Interesting in theory.

Why do you say that? 



Article: 150212
Subject: Re: I Give Up!
From: Jan Decaluwe <jan@jandecaluwe.com>
Date: Sat, 01 Jan 2011 18:00:11 +0100
Links: << >>  << T >>  << A >>
Jonathan Bromley wrote:

> The implied shift away from interest in the 
> languages themselves is fascinating too.  Does 
> everyone think that the languages are a done deal, 
> and no-one needs to talk about them any more?  Are
> the published books and resources so good nowadays 
> that no-one needs to check with a live human?  
> Or has everyone gone to some place else that 
> I'm insufficiently Net-savvy to know about?
> 
> I shall look back on the end of 2010 with some
> sadness.  I've been using various newsgroups for
> about fifteen years now, and they've given me 
> much pleasure, information and insight.  But that
> era is over; the Internet is now a very different
> place, and I'm much less at home there than 
> I used to be.  Relentless march of progress, or
> merely the tragedy of the commons?  Someone else
> must judge...

We were merely immigrants, and now the digital natives
have taken over the place, Jonathan :-)

    http://www.tekphile.com/2010/12/where-is-vhdls-jquery/

I look forward to your blogs and tweets ;-)

Jan

-- 
Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com
    Python as a HDL: http://www.myhdl.org
    VHDL development, the modern way: http://www.sigasi.com
    World-class digital design: http://www.easics.com

Article: 150213
Subject: USB Cables again
From: luudee <rudolf.usselmann@gmail.com>
Date: Sat, 1 Jan 2011 10:26:57 -0800 (PST)
Links: << >>  << T >>  << A >>

It seems this issue is coming up again and again, with each new ISE
release.

I am running Fedora 14, x86_64, and installed ISE 12.4.

And of course the platform USB cables stopped working ...

libusb is installed, and the drivers are installed ....

but impact is giving me this:
.......
AutoDetecting cable. Please wait.
If you are using the Platform Cable USB, please refer to the USB Cable
Installation Guide (UG344) to install the libusb package.
Connecting to cable (Usb Port - USB21).
Checking cable driver.
 Linux release = 2.6.36.2.RU1.
WARNING:iMPACT -  Module windrvr6 is not loaded. Please reinstall the
cable
   drivers. See Answer Record 22648.
Cable connection failed.
Connecting to cable (Parallel Port - parport0).
 Linux release = 2.6.36.2.RU1.
WARNING:iMPACT -  Module windrvr6 is not loaded. Please reinstall the
cable
   drivers. See Answer Record 22648.
 Linux release = 2.6.36.2.RU1.
WARNING:iMPACT -  Module parport_pc is not loaded. Please reinstall
the cable
   drivers. See Answer Record 22648.
Cable connection failed.


Any ideas or suggestions ???

Thanks,
rudi

Article: 150214
Subject: Re: I Give Up!
From: Andy Botterill <andy@plymouth2.demon.co.uk>
Date: Sun, 02 Jan 2011 10:16:02 +0000
Links: << >>  << T >>  << A >>
On 12/30/2010 08:57 PM, rickman wrote:
> The spam in Google groups has only gotten worse lately and there seems
> to be no end in sight.  This group is getting hard to find the real
> posts in and some of the other groups are just plain unusable with
> five or ten spam messages to every real message.

I complained about a recent spam article in comp.lang.vhdl. I actually 
got an e-mail reply from google. Google never used to reply. So it could 
be as simple as complaining to google.

I will try complaining about spam and off topic posts as much as I can. 
If you can do the same the newsgroup may get a bit cleaner.

I have learned a lot about FPGA's and design from this newsgroup so 
please please carry on. Andy
>
> Rather than to add spam filters as most newsgroup access providers do,
> Google has invented an entirely new interface with an entirely new
> look, with the ability to flag a post as spam (or otherwise
> inappropriate) and it is hidden from your view.
>
> That would be great, except that the new interface sucks compared to
> the old one.  Maybe it is just that I'm used to the old one, but I
> have tried the new one in one of the groups I access and I don't seem
> to be liking it any more than when I first saw it.
>
> For a company that is so good at search engines, why can't they
> understand anything about how newsgroups should work?
>
> Rick


Article: 150215
(removed)


Article: 150216
Subject: Re: I Give Up!
From: rickman <gnuarm@gmail.com>
Date: Sun, 2 Jan 2011 09:34:30 -0800 (PST)
Links: << >>  << T >>  << A >>
On Jan 2, 5:16=A0am, Andy Botterill <a...@plymouth2.demon.co.uk> wrote:
> On 12/30/2010 08:57 PM, rickman wrote:
>
> > The spam in Google groups has only gotten worse lately and there seems
> > to be no end in sight. =A0This group is getting hard to find the real
> > posts in and some of the other groups are just plain unusable with
> > five or ten spam messages to every real message.
>
> I complained about a recent spam article in comp.lang.vhdl. I actually
> got an e-mail reply from google. Google never used to reply. So it could
> be as simple as complaining to google.
>
> I will try complaining about spam and off topic posts as much as I can.
> If you can do the same the newsgroup may get a bit cleaner.
>
> I have learned a lot about FPGA's and design from this newsgroup so
> please please carry on. Andy
>
>
>
> > Rather than to add spam filters as most newsgroup access providers do,
> > Google has invented an entirely new interface with an entirely new
> > look, with the ability to flag a post as spam (or otherwise
> > inappropriate) and it is hidden from your view.
>
> > That would be great, except that the new interface sucks compared to
> > the old one. =A0Maybe it is just that I'm used to the old one, but I
> > have tried the new one in one of the groups I access and I don't seem
> > to be liking it any more than when I first saw it.
>
> > For a company that is so good at search engines, why can't they
> > understand anything about how newsgroups should work?
>
> > Rick

Can you explain about your email to Google?  To what address did you
send an email?  I've never found one.  I've never found any way to
actually contact anyone at Google.  They seem to be the ultimate non-
human entity in my book.  In fact, I receieved a book for Christmas
called "The Singularity is Near".  Scanning the topics seems to
indicate it is suggesting that machines are not only capable of
becoming self aware, but that it is inevitable.  Google has likely
been taken over by the machines and will continue to evolve and
eventually enslave all humans.  I think it started with Google Groups
and has spread to the Android phone (the name being a bit of cyborg
humor no doubt).  Next I expect they will start to entwine themselves
into our essential services and product distribution so that they can
cut us off from our ability to survive.

What exactly did the reply from Google say?  Did it include the words
"assimilate", "resistance" and "futile" anywhere?

Rick

Article: 150217
Subject: Re: I Give Up!
From: Andy Botterill <andy@plymouth2.demon.co.uk>
Date: Sun, 02 Jan 2011 18:33:46 +0000
Links: << >>  << T >>  << A >>
On 01/02/2011 05:34 PM, rickman wrote:
> On Jan 2, 5:16 am, Andy Botterill<a...@plymouth2.demon.co.uk>  wrote:
>> On 12/30/2010 08:57 PM, rickman wrote:
>>
>>> The spam in Google groups has only gotten worse lately and there seems
>>> to be no end in sight.  This group is getting hard to find the real
>>> posts in and some of the other groups are just plain unusable with
>>> five or ten spam messages to every real message.
>>
>> I complained about a recent spam article in comp.lang.vhdl. I actually
>> got an e-mail reply from google. Google never used to reply. So it could
>> be as simple as complaining to google.
>>
>> I will try complaining about spam and off topic posts as much as I can.
>> If you can do the same the newsgroup may get a bit cleaner.
>>
>> I have learned a lot about FPGA's and design from this newsgroup so
>> please please carry on. Andy
>>
>>
>>

>>
>>> Rick
>
> Can you explain about your email to Google?  To what address did you
> send an email?  I've never found one.  I've never found any way to

Article: 150218
Subject: Re: I Give Up!
From: rickman <gnuarm@gmail.com>
Date: Sun, 2 Jan 2011 11:06:32 -0800 (PST)
Links: << >>  << T >>  << A >>
On Jan 2, 1:33=A0pm, Andy Botterill <a...@plymouth2.demon.co.uk> wrote:
> On 01/02/2011 05:34 PM, rickman wrote:
>
>
>
> > On Jan 2, 5:16 am, Andy Botterill<a...@plymouth2.demon.co.uk> =A0wrote:
> >> On 12/30/2010 08:57 PM, rickman wrote:
>
> >>> The spam in Google groups has only gotten worse lately and there seem=
s
> >>> to be no end in sight. =A0This group is getting hard to find the real
> >>> posts in and some of the other groups are just plain unusable with
> >>> five or ten spam messages to every real message.
>
> >> I complained about a recent spam article in comp.lang.vhdl. I actually
> >> got an e-mail reply from google. Google never used to reply. So it cou=
ld
> >> be as simple as complaining to google.
>
> >> I will try complaining about spam and off topic posts as much as I can=
.
> >> If you can do the same the newsgroup may get a bit cleaner.
>
> >> I have learned a lot about FPGA's and design from this newsgroup so
> >> please please carry on. Andy
>
> >>> Rick
>
> > Can you explain about your email to Google? =A0To what address did you
> > send an email? =A0I've never found one. =A0I've never found any way to
>
> Path:
> gradwell.net!newsh.newsreader.com!newsh.newsreader.com!news-out.readnews.=
com!news-xxxfer.readnews.com!postnews.google.com!o23g2000prh.googlegroups.c=
om!not-for-mail
> From: SAHITHI <k.sahithi2...@gmail.com>
> Newsgroups: comp.lang.vhdl
> Subject: BETS HOT PHOTOS & VIDEOS
> Date: Sun, 2 Jan 2011 07:55:44 -0800 (PST)
> Organization:http://groups.google.com
> Lines: 20
> Message-ID:
> <f80fecda-4deb-4082-a9f4-36108c01f...@o23g2000prh.googlegroups.com>
> NNTP-Posting-Host: 117.199.248.142
> Mime-Version: 1.0
> Content-Type: text/plain; charset=3DISO-8859-1
> X-Trace: posting.google.com 1293983744 1063 127.0.0.1 (2 Jan 2011
> 15:55:44 GMT)
> X-Complaints-To: groups-abuse@google.com
> ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
> That is the place to complain to.
>
> NNTP-Posting-Date: Sun, 2 Jan 2011 15:55:44 +0000 (UTC)
> Complaints-To: groups-abuse@google.com
> Injection-Info: o23g2000prh.googlegroups.com;
> posting-host=3D117.199.248.142;
> posting-account=3DIYIO_woAAACZLdlNOANhRD1tMvrUegMl
> ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
> This give the injection point.
>
> User-Agent: G2/1.0
> X-HTTP-UserAgent: Mozilla/5.0 (Windows; U; Windows NT 5.1; en-US;
> rv:1.9.2.13)
> =A0 Gecko/20101203 Firefox/3.6.13,gzip(gfe)
> Xref: gradwell.net comp.lang.vhdl:63583
>
> > actually contact anyone at Google. =A0They seem to be the ultimate non-
> > human entity in my book. =A0In fact, I receieved a book for Christmas
> > called "The Singularity is Near". =A0Scanning the topics seems to
> > indicate it is suggesting that machines are not only capable of
> > becoming self aware, but that it is inevitable. =A0Google has likely
> > been taken over by the machines and will continue to evolve and
> > eventually enslave all humans. =A0I think it started with Google Groups
> > and has spread to the Android phone (the name being a bit of cyborg
> > humor no doubt). =A0Next I expect they will start to entwine themselves
> > into our essential services and product distribution so that they can
> > cut us off from our ability to survive.
>
> > What exactly did the reply from Google say? =A0Did it include the words
> > "assimilate", "resistance" and "futile" anywhere?
>
> I have historically complained and got no response. Today I actually got
> a reply. It was more an automated e-mail.
>
> There was an earlier article which implied that if google got more than
> a certain number of complaints from different IP addresses they would
> take action.
>
> If a few more people from this newsgroup took action we may be able to
> get google to do something.

I think what you may have seen about Google responding to complaints
is when someone mentioned that using the "Report Spam" control would
delete the offending post once some minimum number of reports were
made from some minimum number of IP addresses.  I have been using the
Report Spam control and on occasion I manage to make the Nth report
that causes the post to go away.  But the spammers are overwhelming in
some groups where I have given up.  This group is getting more spam
every week and I am getting tired of all the stupid clicking required
to report it.

Google does a great job of filtering spam from email in Gmail.  I
can't think of a reason why they can't use the same techniques to
filter spam from here.  In fact, it has been reported that most of the
spam showing up in newsgroups *comes* from Google Group posters.
Certainly Google could put some controls in place to prevent that.

As I originally said, I give up.  I don't see how reporting spam here
is worth the effort.

Rick

Article: 150219
Subject: Re: I Give Up!
From: Mike Treseler <mtreseler@gmail.com>
Date: Sun, 02 Jan 2011 11:10:33 -0800
Links: << >>  << T >>  << A >>
On 12/31/2010 6:27 PM, Pete Fraser wrote:
> "Mike Treseler"<mtreseler@gmail.com>  wrote in message
> news:8o7cufF6ttU1@mid.individual.net...
>
>> Logic synthesis may be going the way of the mechanical cash register.
>> Interesting in theory.
>
> Why do you say that?
>
>

Because many tasks that were once performed by
FPGAs, Asics and custom hardware are now covered
by servers in the closet or in the cloud.

       -- Mike Treseler

Article: 150220
Subject: Re: USB Cables again
From: he <he_novalid_addresse@arcor.de>
Date: Sun, 02 Jan 2011 20:51:50 +0100
Links: << >>  << T >>  << A >>
On 01/01/11 19:26, luudee wrote:
> 
> It seems this issue is coming up again and again, with each new ISE
> release.
> 
> I am running Fedora 14, x86_64, and installed ISE 12.4.
> 
> And of course the platform USB cables stopped working ...
> 
> libusb is installed, and the drivers are installed ....
> 
> but impact is giving me this:
> ........
> AutoDetecting cable. Please wait.
> If you are using the Platform Cable USB, please refer to the USB Cable
> Installation Guide (UG344) to install the libusb package.
> Connecting to cable (Usb Port - USB21).
> Checking cable driver.
>  Linux release = 2.6.36.2.RU1.
> WARNING:iMPACT -  Module windrvr6 is not loaded. Please reinstall the
> cable
>    drivers. See Answer Record 22648.
> Cable connection failed.
> Connecting to cable (Parallel Port - parport0).
>  Linux release = 2.6.36.2.RU1.
> WARNING:iMPACT -  Module windrvr6 is not loaded. Please reinstall the
> cable
>    drivers. See Answer Record 22648.
>  Linux release = 2.6.36.2.RU1.
> WARNING:iMPACT -  Module parport_pc is not loaded. Please reinstall
> the cable
>    drivers. See Answer Record 22648.
> Cable connection failed.

does fxload load the firmware? check lsusb for Product-ID 0008. The
"tempnode" string in the udev-rules is case sensitive. Can't remember if
upper or lower case is correct, but I had to change it once.

Do you have the symlink /usr/lib/libusb.so -> /usr/lib/libusb-[Version].so
This link was not created by default in my distribution and caused
problems in previous releases(don't know about fedora)

HTH

Article: 150221
Subject: Re: I Give Up!
From: General Schvantzkoph <schvantzkoph@yahoo.com>
Date: 2 Jan 2011 20:28:35 GMT
Links: << >>  << T >>  << A >>
On Thu, 30 Dec 2010 12:57:06 -0800, rickman wrote:

> The spam in Google groups has only gotten worse lately and there seems
> to be no end in sight.  This group is getting hard to find the real
> posts in and some of the other groups are just plain unusable with five
> or ten spam messages to every real message.
> 
> Rather than to add spam filters as most newsgroup access providers do,
> Google has invented an entirely new interface with an entirely new look,
> with the ability to flag a post as spam (or otherwise inappropriate) and
> it is hidden from your view.
> 
> That would be great, except that the new interface sucks compared to the
> old one.  Maybe it is just that I'm used to the old one, but I have
> tried the new one in one of the groups I access and I don't seem to be
> liking it any more than when I first saw it.
> 
> For a company that is so good at search engines, why can't they
> understand anything about how newsgroups should work?
> 
> Rick

I use news.individual.net, it costs 10 Euros/year. I don't see any spam in 
this newsgroup or in any of the Linux newsgroups that I frequent. I use 
PAN as a newsreader. Google groups is an awful way to access news groups, 
not only is it SPAM ridden but the UI is unusable. You should be using a 
real newsreader with a properly filtered news server.

Article: 150222
Subject: Re: Error in Clock Divider!
From: Thomas Stanka <usenet_nospam_valid@stanka-web.de>
Date: Mon, 3 Jan 2011 06:08:09 -0800 (PST)
Links: << >>  << T >>  << A >>
On 29 Dez. 2010, 17:32, Dave <starfire...@cableone.net> wrote:

> In addition to the responses you've had so far, don't you need to
> include the port for the reset line input and also include it in your
> process sensitivity list?

No as this seems to be a synchronous reset which is internal
generated.


Article: 150223
Subject: Re: Error in Clock Divider!
From: Thomas Stanka <usenet_nospam_valid@stanka-web.de>
Date: Mon, 3 Jan 2011 06:13:00 -0800 (PST)
Links: << >>  << T >>  << A >>
On 29 Dez. 2010, 19:22, Nicolas Matringe <nicolas.matri...@fre.fre>
wrote:
> Le 29/12/2010 16:43, Santosh a crit :
>
>
>
> > I have got a clock divider code as follows:
>
> > entity divClk8 is
> > =A0 =A0 Port ( CLK : in std_logic;
> > =A0 =A0 =A0 =A0 =A0 =A0CLK_OUT : out std_logic);
> > end divClk8;
>
> > architecture Behavioral of divClk8 is
> > signal count : std_logic_vector (3 downto 0) :=3D "1111";
> > signal reset : std_logic :=3D '0';
>
> > begin
> > process(CLK)
> > begin
> > if(reset =3D '1') then
> > count<=3D "0000";
> > elsif(rising_edge(CLK)) then
> > count<=3D count + 1;
> > end if;
> > end process;
> > CLK_OUT<=3D count(3);
> > reset<=3D (count(3) and not(count(2))and not(count(1))and
> > not(count(0)));
> > end Behavioral;
>
> > But when I try to compile it using ModelSim I get the following error
>
> > -- =A0No feasible entries for infix operator "+". Type error resolving
> > infix expression "+" as type ieee.std_logic_1164.std_logic_vector.
>
> What do you plan to do with your divided clock output ? It will be a
> short glith-like pulse that will be very dependent on many things
> (mainly temperature) that won't be very usable.

Please explain your problems with the functional code?
I would have written it a bit shorter, but can't see a problem in
generating a divided clock with 8 cycles '0' and 8 cycles '1'

bye Thomas

Article: 150224
Subject: Re: Error in Clock Divider!
From: "Morten Leikvoll" <mleikvol@yahoo.nospam>
Date: Mon, 3 Jan 2011 15:45:58 +0100
Links: << >>  << T >>  << A >>
"Santosh" <santos2k7@gmail.com> wrote in message 
news:17ff8d8d-0fa9-41d3-8946-53879f254399@r8g2000prm.googlegroups.com...
>I have got a clock divider code as follows:
>
> entity divClk8 is
>   Port ( CLK : in std_logic;
>          CLK_OUT : out std_logic);
> end divClk8;
>
> architecture Behavioral of divClk8 is
> signal count : std_logic_vector (3 downto 0) := "1111";
> signal reset : std_logic := '0';
>
> begin
> process(CLK)
> begin
> if(reset = '1') then
> count <= "0000";
> elsif(rising_edge(CLK)) then
> count <= count + 1;
> end if;
> end process;
> CLK_OUT <= count(3);
> reset <= (count(3) and not(count(2))and not(count(1))and
> not(count(0)));
> end Behavioral;
>
>
>
> But when I try to compile it using ModelSim I get the following error
>
> --  No feasible entries for infix operator "+". Type error resolving
> infix expression "+" as type ieee.std_logic_1164.std_logic_vector.

Lots of potential issues with this code, but try:
count <= count + "1"; -- now with quotes on the 1





Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search