Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 82625

Article: 82625
Subject: Soft CPU vs Hard CPU's
From: "teen" <nkishorebabu123@yahoo.com>
Date: 14 Apr 2005 22:48:50 -0700
Links: << >>  << T >>  << A >>
Hai all,
          I heard that the SOFT CPU's have wide range of benefits than
the HARD CPU's. if so, then  why still HARD CPU's are preferred.

plz let me know the answer.

regards, 
kishore


Article: 82626
Subject: Re: Fitting functionality in an XC2VP30 FPGA.
From: Erik Walthinsen <omega@pdxcolo.net>
Date: Thu, 14 Apr 2005 23:03:33 -0700
Links: << >>  << T >>  << A >>
simon.stockton@baesystems.com wrote:
 > Didn't understand about domain name either !!!

I think he's implying that military equipment contractor (BAE Systems) 
== doesn't have to scrimp on a potentially undersized FPGA to save 
(literally) a buck.

Makes sense to me ;-)

Article: 82627
Subject: Re: Fitting functionality in an XC2VP30 FPGA.
From: "simon.stockton@baesystems.com" <simon.stockton@baesystems.com>
Date: 14 Apr 2005 23:40:16 -0700
Links: << >>  << T >>  << A >>
As mentioned before the issue is not about money but about the
availavility of a specific COTS board, albeit with a potentially
limiting FPGA XC2VP30 on it.

See previous post.

Thanks to everyone who has contributed, it looks like there still is a
risk about fitting ABC & D in the XC2VP30 but it is smaller that
perhaps I first understood.

Simon


Article: 82628
Subject: Re: Fitting functionality in an XC2VP30 FPGA.
From: "Antti Lukats" <antti@openchip.org>
Date: Fri, 15 Apr 2005 08:57:12 +0200
Links: << >>  << T >>  << A >>

"Erik Walthinsen" <omega@pdxcolo.net> schrieb im Newsbeitrag
news:425F5935.1010009@pdxcolo.net...
> simon.stockton@baesystems.com wrote:
>  > Didn't understand about domain name either !!!
>
> I think he's implying that military equipment contractor (BAE Systems)

its funny that BAE systems appeared again today during my re-search, namly
BAE holds 35% of MBDA and an email from MBDA.fr domain is listed as project
coordinator for the dynamically reconfigurable FPGA project www.reconfig.org
funnyly there is confusing presse announcement from Atmel (a partner of
reconfig org project) from 5th March 2005
http://www.atmel.com/dyn/corporate/view_detail.asp?ref=&FileName=celoxica_3_7.html&SEC_NAME=Product

about is some new product to be expected later this year, but unclear if
thats hardware or just new tools.

> == doesn't have to scrimp on a potentially undersized FPGA to save
> (literally) a buck.
>
> Makes sense to me ;-)

:) correct - for an system to be used in mission critical leave at least 10%
free. To the original poster: even saying that with 80% you can expect some
trouble, I am also sure that it is actually possible to FIT the ABCD into
the target device, specially if D is delivered as HDL source code, you just
may have to use synplify or physical synthesis to get better fit, and again
this may not be needed at all, as the slice count for ABCD may drop by large
% from the sum of A+B+C+D

my wording isnt perfect english as english is the only human language from
the 5 I speak that I havent learned at all. I just had to read the
datasheets and learned the english language by guessing the meaning. I have
also written a disassembler, Processor ISA description and partial
description of the on chip peripherals document by reading 64K binary memory
dump (and knowing nothing more than that 64k binary data) - the chip was
CCU3000 and my learned (by reading binary dump) knowledge was quite correct
as I later got the datasheets as well and compared :)

Antti who is hitting 40 (decimal) on April 21 and has never had the joy to
enjoy a paid vaccation is looking forward in the hope to be afford a real
vaccation with the family - target goal is set for 2006. Thats my dream
antti@truedream.org

comments are welcome in my guestbook http://www.truedream.org/guestbook

PS I am offline for the next 10 days.







Article: 82629
Subject: Re: Flowcharts and diagrams
From: David <david.nospam@westcontrol.removethis.com>
Date: Fri, 15 Apr 2005 08:59:59 +0200
Links: << >>  << T >>  << A >>
On Thu, 14 Apr 2005 07:32:52 -0700, Mike Treseler wrote:

> Reinier wrote:
> 
>> I'm looking for a freeware or low cost program do document and
>> illustrate the signal processing flow in my FPGA design.  I'd like to
>> use building blocks like adders, multipliers, memory, busses etc. What
>> do you guys use to make some nice looking pictures? I don't want to
>> spend days learning Corel Draw or something huge like that.
> 
> Open office and dia are the best of the free, but
> you will spend many days to get the first
> "nice looking picture" out of the printer.

I haven't tried it yet, but I gather that Open Office 2 (solid beta
available) has better flowcharting functionality.

> 
> Unless you have a paying audience for your artwork,
> consider sketching the block diagram in your notebook
> and spend the time you save cleaning up your
> source code/schematic and simulation testbench.
> 
>           -- Mike Treseler


Article: 82630
Subject: Re: virtex4 reconfiguration time
From: Stephane <stephane@nospam.fr>
Date: Fri, 15 Apr 2005 09:12:16 +0200
Links: << >>  << T >>  << A >>
Marc Randolph wrote:

<-- snip -->

> X's are obviously don't cares.

Looks ok... I would have it done by myself if working for Xilinx ;-)

> 
> BTW, I neglected to mention something on my original reply about the
> configuration time: it is only that low (10 msec) if you can really
> feed a byte to the part on every clock cycle.  If you are driving the
> selectMAP from a microprocessor, that may not be possible since it can
> take them many cycles for each bus access.

Right! I did that with a spartan2, and [MV MV SUB BEQ] @11MHz led to a 
700ms configuration time... awful, but this was not a big deal.


This time the idea is to use the ICAP port, when PR will be documented.
And you know what? The bottleneck will be the nor flash I'm reading from!

> 
>    Marc
> 

Article: 82631
Subject: Re: Fitting functionality in an XC2VP30 FPGA.
From: "Antti Lukats" <antti@openchip.org>
Date: Fri, 15 Apr 2005 09:20:45 +0200
Links: << >>  << T >>  << A >>
Hi Simon,

there is some risk that it may require some tweaking to get it fit and work.
But it should defenetly be possible to fit. If XST synthesis is not good
enough better synthesis tool may be needed. But try to the MAP report for
for ABC when mapped together, and check how much the slice count drops for
ABC from A+B+C. If the slice count doesnt drop and the and adding D would
not also not yield to better slice utilization ratio, then there could be
fit problem.

Antti


<simon.stockton@baesystems.com> schrieb im Newsbeitrag
news:1113547216.554393.163910@l41g2000cwc.googlegroups.com...
> As mentioned before the issue is not about money but about the
> availavility of a specific COTS board, albeit with a potentially
> limiting FPGA XC2VP30 on it.
>
> See previous post.
>
> Thanks to everyone who has contributed, it looks like there still is a
> risk about fitting ABC & D in the XC2VP30 but it is smaller that
> perhaps I first understood.
>
> Simon
>



Article: 82632
Subject: Re: Soft CPU vs Hard CPU's
From: "Antti Lukats" <antti@openchip.org>
Date: Fri, 15 Apr 2005 09:33:38 +0200
Links: << >>  << T >>  << A >>
Hi (hai means "shark" in my native language)

1 your question doesnt have an answer.
2 and your assumptions are not correct.
3 and if you keep using an identy like "teen" what hardly is your name and
wording in style 'Hai', 'plz' then you hardly will get answers to anything
you ask. If you dont understand what I mean then it would be of benefit for
you to go back to school and learn there something.
4 if you do not get upset to what I said above and do some homework then you
will be able to correct your wrong assumptions and answer your question
yourself.

antti


"teen" <nkishorebabu123@yahoo.com> schrieb im Newsbeitrag
news:1113544130.628433.67240@o13g2000cwo.googlegroups.com...
> Hai all,
>           I heard that the SOFT CPU's have wide range of benefits than
> the HARD CPU's. if so, then  why still HARD CPU's are preferred.
>
> plz let me know the answer.
>
> regards,
> kishore
>



Article: 82633
Subject: Re: re:implement the JTAG MASTER --ACT8990 by using FPGA
From: "Antti Lukats" <antti@openchip.org>
Date: Fri, 15 Apr 2005 09:41:23 +0200
Links: << >>  << T >>  << A >>

"strayblue" <strayblue2003@yahoo.com-dot-cn.no-spam.invalid> schrieb im
Newsbeitrag news:n5udnQdoZ_Ct1cLfRVn_vQ@giganews.com...
> I think your conclusion about three kinds of people is very
> classical.I hope that we will have more intercommunion.
almost ROTFL, I hope it too.

and I also hope there is nothing classical about me ;)
at the uni some professor's where a little annoyed about me:
"comes to my class, falls a sleep, wakes up to correct an error on
the whiteboard and continues to sleep"
- it was almost about that. I did quit then...

Antti




> > Antti Lukatswrote:
> "strayblue" <strayblue2003@yahoo.com-dot-cn.no-spam.invalid>
> schrieb im
> > Newsbeitrag news:lt6dnd2PNq8vYcbfRVn_vg@giganews.com...
> > Thank you very much,I will learn to do it,and will do it better.
> >
> thats better attitude, I belive that if you do, you can do it.
> better.
>
> The links I provided do not give anything quite ready to use, just
> those
> starting points known to me. Hopefully there was some usefulness in
> it. And
> hope you didnt mind my writing style, I am very open, so I say what I
> think.
> So get a Smile and start doing. And try keep smiling :)
>
> Antti
> BTW I am at the moment quite engaged with JTAG from different aspects,
> both
> from master and slave side and also in supporting software, so if you
> make
> something better, please keep me posted, or better would be if there
> would
> be some result from your work that could be used by others.
>
>
>
> [[/quote:a8cad9cfa2]
>



Article: 82634
Subject: Re: Reading old F2.1i schematics
From: Engineering Guy <whataloginsfor@os.pl>
Date: Fri, 15 Apr 2005 10:22:29 +0200
Links: << >>  << T >>  << A >>
John Larkin wrote:
> On 13 Apr 2005 09:12:51 -0700, "Andy Peters" <Bassman59a@yahoo.com>
> wrote:
> 
> 
>>I'm sure others have this problem ...
>>
>>Is there a tool that'll let one view and hopefully print a schematic
>>done in the old Xilinx F2.1i schematic tool?  The new stuff doesn't
>>want to know about the old stuff, and worse is that you can't even
>>install 2.1i on an XP machine. (Yeah, that'll teach me to upgrade.)
>>
>>I don't want to do anything with this schematic other than view it.
>>I'm doing a new board sorta based on an old design, and the new design
>>will of course be in VHDL rather than as a schematic.
>>
>>Ideas?
>>
>>-a
> 
> 
> 
> What the world needs is a standard schematic file format.
> 
> Our policy now is to release PDFs of all schematics with the designs,
> so at least it's easy to see what's there.
> 
> John
> 
Well... wasn't it EDIF that was supposed to become one (ANSI, IEC, EN 
standards)? The only thing is, that proprietary means money for vendors 
that own the format... keep the customer dependent on the solution.

EG

Article: 82635
Subject: Re: Flowcharts and diagrams
From: Engineering Guy <whataloginsfor@os.pl>
Date: Fri, 15 Apr 2005 10:34:25 +0200
Links: << >>  << T >>  << A >>
Praveen wrote:

> If you have linux/unix -- Xfig is the tool..I have never used any other
> tool after trying it. You have to spend an hr or so learning it.
> 
Here is how to use it on windows:
http://www.cs.usask.ca/grads/wew036/latex/xfig.html

cygwin is available at:
http://www.cygwin.com/

or alternatively you can try WinFig 
at:http://user.cs.tu-berlin.de/~huluvu/WinFIG.htm

EG.

Article: 82636
Subject: ISE Testbench/Schematic Generation ignores package
From: "Dipl.-Ing. Hanns-Walter Schulz" <ha.schulz@tu-bs.de>
Date: Fri, 15 Apr 2005 12:44:37 +0200
Links: << >>  << T >>  << A >>
Using the Xilinx Project Navigator, I created a project and added a package 
definition (vhd-file) at the very top level. Inside this package I defined 
some types of integers, signed and unsigned.
I included this package in all other vhd-files of the project with "use 
my_package.all". All syntax checks were successfull.
Now the problem: When I automaticaly create a Schematic or a Testbench file, 
the package definitions seem to be ignored: all ports defined in the 
original vhd-file as integers (8bit) are now only std_logic. This can be 
seen directly in the schematics drwaing, or the testbench grafical display 
or the testbench vhd-file.
I get an error message saying:
"Compiling vhdl file ##_top.vhd in Library work.
ERROR:HDLParsers:3014 - ##_top.vhd Line 9. Library unit my_package is not 
available in library work.
WARNING:HDLParsers:3465 - Library as no units. Did not save reference file 
xst/work/hdllib.ref for it."

Does anyone know where the error is located?

-- 

__________________________________________
Dipl.-Ing. Hanns-Walter Schulz
TU Braunschweig
Institut fuer Luft- und Raumfahrtsysteme
Institute of Aerospace Systems
Hermann-Blenk-Str. 23  Tel.: ++49 531 391 9968
D-38108 Braunschweig   Fax:  ++49 531 391 9966



Article: 82637
Subject: re:Xilinx XPower - Accuracy Information
From: werbung@eingebettete-systeme-dot-de.no-spam.invalid (parity)
Date: Fri, 15 Apr 2005 05:51:00 -0500
Links: << >>  << T >>  << A >>
Hello,

thanks for the answers. I am sorry, that i didn't give you enough
information. I am using the Xilinx XCV 800bg432-4 and i am also using
VCD and sdf files. I know the FPGA is a really small one, but i need
it for researching purposes only. Yesterday I found some information
in the Xilinx Answer Database. The accuracy seems to be in the range
of 10 % (PRODUCTION/FINAL).

Here is the link to the Article:

http://www.xilinx.com/xlnx/xil_ans_display.jsp?iLanguageID=1&iCountryID=1&getPagePath=14285

parity


Article: 82638
Subject: different I/O buffers available inXilinx FPGA
From: "vlsi_learner" <bajajk@gmail.com>
Date: 15 Apr 2005 04:14:53 -0700
Links: << >>  << T >>  << A >>
could anyone please explain me the difference between the buffers IBUF
OBUF BUFGP BUFGDLL BUFT etc available in XILINX FPGA.


Article: 82639
Subject: Re: different I/O buffers available inXilinx FPGA
From: "Antti Lukats" <antti@openchip.org>
Date: Fri, 15 Apr 2005 13:19:19 +0200
Links: << >>  << T >>  << A >>
"vlsi_learner" <bajajk@gmail.com> schrieb im Newsbeitrag
news:1113563692.919736.183860@f14g2000cwb.googlegroups.com...
> could anyone please explain me the difference between the buffers IBUF
> OBUF BUFGP BUFGDLL BUFT etc available in XILINX FPGA.
>
RTFM

antti



Article: 82640
Subject: Re: different I/O buffers available inXilinx FPGA
From: "Jochen" <JFrensch@HarmanBecker.com>
Date: 15 Apr 2005 04:27:49 -0700
Links: << >>  << T >>  << A >>

vlsi_learner wrote:
> could anyone please explain me the difference between the buffers
IBUF
> OBUF BUFGP BUFGDLL BUFT etc available in XILINX FPGA.

Just have a look at
  <Xilinx-Install-Dir>\doc\usenglish\books\docs\lib\lib.pdf

Jochen


Article: 82641
Subject: Re: different I/O buffers available inXilinx FPGA
From: Engineering Guy <whataloginsfor@os.pl>
Date: Fri, 15 Apr 2005 14:22:05 +0200
Links: << >>  << T >>  << A >>
Jochen wrote:

> vlsi_learner wrote:
> 
>>could anyone please explain me the difference between the buffers
> 
> IBUF
> 
>>OBUF BUFGP BUFGDLL BUFT etc available in XILINX FPGA.
> 
> 
> Just have a look at
>   <Xilinx-Install-Dir>\doc\usenglish\books\docs\lib\lib.pdf
> 
> Jochen
> 
or...
http://toolbox.xilinx.com/docsan/xilinx6/books/docs/lib/lib.pdf

EG

Article: 82642
Subject: Functional vs, Timing
From: ALuPin@web.de (ALuPin)
Date: 15 Apr 2005 05:22:14 -0700
Links: << >>  << T >>  << A >>
Hi out there,

maybe someone can give her/his opinion:

I have the following assignment in my top level file:

entiy top is
port ( ...
       Sdram_csn : out std_logic_vector(1 downto 0);
       ...
     );
end top;

architecture rtl of top is

...
begin

Sdram_csn <= ('1' & l_sdram_csn);
...
end rtl;

When performing a functional simulation with Modelsim
Sdram_csn gets "10" at a certain point. (Reset: Sdram_csn="11")

The Timing Simulation with Modelsim instead shows that
Sdram_csn gets "11".

Is it possible that l_sdram_csn is '0' and yet
Sdram_csn gets "11" at the pin ? I tried to make the signal "l_sdram_csn"
visible in Modelsim, but the problem is that it is spread over
various slices after place and routing...Any tipps to find that signal ?

Rgds
André

Article: 82643
Subject: Re: Soft CPU vs Hard CPU's
From: General Schvantzkoph <schvantzkoph@yahoo.com>
Date: Fri, 15 Apr 2005 08:28:49 -0400
Links: << >>  << T >>  << A >>
On Thu, 14 Apr 2005 22:48:50 -0700, teen wrote:

> Hai all,
>           I heard that the SOFT CPU's have wide range of benefits than
> the HARD CPU's. if so, then  why still HARD CPU's are preferred.
> 
> plz let me know the answer.
> 
> regards, 
> kishore

You heard wrong. A hard CPU is faster and cheaper then a soft CPU. By
cheaper I mean they take much less die area then an equivalent soft CPU.
The downside of the hard CPUs is that they are only available in high end
FPGAs like the Virtex2P and Virtex4. The cheaper FPGAs like the Spartan3
don't have embedded CPUs. If you needed a processor in a Spartan3 you
would have to use a soft CPU, however you want to use one that was much
simpler then the PPC in the Virtex2Ps and 4s. If you implemented a full
PPC you would use so many slices that you would negate the cost advantage
of the Spartan3.


Article: 82644
Subject: Re: different I/O buffers available inXilinx FPGA
From: Sean Durkin <smd@despammed.com>
Date: Fri, 15 Apr 2005 14:38:48 +0200
Links: << >>  << T >>  << A >>
Engineering Guy wrote:
> or...
> http://toolbox.xilinx.com/docsan/xilinx6/books/docs/lib/lib.pdf
I like this one best:

http://toolbox.xilinx.com/docsan/xilinx5/data/docs/lib/lib0027_11.html

or this for Virtex-4:

http://toolbox.xilinx.com/docsan/xilinx6/books/data/docs/v4lsc/v4lsc0013_4.html

cu,
Sean

Article: 82645
Subject: sharing a common resource... potential problems...
From: Puneetsingh81@gmail.com (CODE_IS_BUG)
Date: 15 Apr 2005 06:41:48 -0700
Links: << >>  << T >>  << A >>
if i am having a set of modules which reference some common control
registers and each of these modules can read or write to these
registers then there is a severe problem of 'bus' first of all and
secondaly the 'mode' of the control registers(INOUT??). Is there any
design reference or document that may be of help to me?

Article: 82646
Subject: Re: Functional vs, Timing
From: "dutchgoldtony" <dutchgoldtony@gmail.com>
Date: 15 Apr 2005 06:47:40 -0700
Links: << >>  << T >>  << A >>
Look at creating a .do macro with Modelsim that adds the signal with
the add wave command.  Look at the syntax that the input and output
signals are declared with in the signals window when you've simulated
and follow that format.


Article: 82647
Subject: Re: different I/O buffers available inXilinx FPGA
From: "vlsi_learner" <bajajk@gmail.com>
Date: 15 Apr 2005 06:57:13 -0700
Links: << >>  << T >>  << A >>
thanks a lottt


Article: 82648
Subject: Re: Soft CPU vs Hard CPU's
From: "Eric" <ericjohnholland@hotmail.com>
Date: 15 Apr 2005 07:09:44 -0700
Links: << >>  << T >>  << A >>
Cost!!!!

That is the main reason why Hard CPU's are still used. They are
cheaper.... a Phillips Arm 7 32 bit CPU is about $3.50 in quantity. An
FPGA with a similar size softcpu like a Microblaze is about $10.

Soft CPU's are used for performance. They take advantage of the FPGA's
logic and use parallelizm to speed up operatiations (ie multipuly two
32 bit numbers in a single clk cycle). A hard CPU would take 4 or more
clk cycles to multiply two 32bit numbers.

So SoftCPU's used with FPGA logic can have a higher performance than an
equivelent hardCPU.

So it totally depends on your application to whether a soft or hard CPU
is for you.

Eric


Article: 82649
Subject: Re: Soft CPU vs Hard CPU's
From: "Eric" <ericjohnholland@hotmail.com>
Date: 15 Apr 2005 07:14:27 -0700
Links: << >>  << T >>  << A >>
SoftCPUs also can have custom peripherals (ie 5 x UARTs, 6 x SPI bues,
20 x I2C bues).

With a Hard CPU your stuck with what the manufacturer gives you.

Personally SoftCPU's are more fun.... custom home brewed CPU's are
exciting!!!

Writing a VHDL model of a CPU is the closest to designing my own CPU
I'll ever get. There is something exciting about that!!!!

Eric




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search