Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 93075

Article: 93075
Subject: Re: mixed signal flash FPGAs launched!
From: Jan Panteltje <pNaonStpealmtje@yahoo.com>
Date: Tue, 13 Dec 2005 13:55:52 GMT
Links: << >>  << T >>  << A >>
On a sunny day (Tue, 13 Dec 2005 10:03:38 +0100) it happened "Antti Lukats"
<antti@openchip.org> wrote in <dnm2pa$a0$00$1@news.t-online.com>:

>they talked about this producte for more than 3 years ago, now its finally 
>laucnhed
>
>* ADC 12bit 600KS/S
>* MOSFET drivers
>* user Flash rom
>* onchip 1% accurate 100MHz oscillator
>* oscillator for 32KHz watch crhrystal
>* single 3.3V power supply
>
>http://xilant.com/content/view/22/2/
>
>pretty nice features!
>
>Well PA3 is just about shipping so we may have to wait to get hands on onto 
>Fusion silicon, but it really looks like cool true single chip.
>
>hm,. if I think about it, this the silicon I have been waiting for, for the 
>last 10 years or so
>
>Antti 
>
>
http://www.actel.com/products/fusion/
AFS600 has 30 analog inputs, amazing.
it is the Microchip philosophy, integrate everything.
 

Article: 93076
Subject: Re: mixed signal flash FPGAs launched!
From: Jan Panteltje <pNaonStpealmtje@yahoo.com>
Date: Tue, 13 Dec 2005 13:55:59 GMT
Links: << >>  << T >>  << A >>
On a sunny day (Tue, 13 Dec 2005 23:24:41 +1300) it happened Jim Granville
<no.spam@designtools.co.nz> wrote in <439ea16a$1@clear.net.nz>:

>Antti Lukats wrote:
>> they talked about this producte for more than 3 years ago, now its finally 
>> laucnhed
>> 
>> * ADC 12bit 600KS/S
>> * MOSFET drivers
>> * user Flash rom
>> * onchip 1% accurate 100MHz oscillator
>> * oscillator for 32KHz watch crhrystal
>> * single 3.3V power supply
>> 
>> http://xilant.com/content/view/22/2/
>> 
>> pretty nice features!
>> 
>> Well PA3 is just about shipping so we may have to wait to get hands on onto 
>> Fusion silicon, but it really looks like cool true single chip.
>> 
>> hm,. if I think about it, this the silicon I have been waiting for, for the 
>> last 10 years or so
>> 
>> Antti 
>
>- and large amounts of code flash, so much that the SRAM looks a bit 
>light.....
>
>Key determinant will be the price, as you can get 
>ARM+FLASH+ADC+32KHz+Ethernet, for rather less than the same bundle will 
>be in the Fusion. That means the FPGA aspect has to be very important,
>to the design, and the single chip more important than a much cheaper 
>CPLD/Small FPGA alongside the ARM+FLASH+ADC+32KHz+Ethernet....
>
>Devices from the uC segment to compare this with, would be the
>ADuC7xx series : 12 Bit ADC, 12 Bit DAC, ARM CPU, FLASH, in 40-80 pins,
>and the 'comming' uPSD ARMs from ST, which have 32MC CPLDs, and
>Ethernet/CAN/USB, 96K Bytes SRAM and 2MBytes FLASH.
>
>Seems to me a smaller Fusion device, _and_ a "Well stacked" ARM Flash 
>Microcontroller, will be better value, than trying to roll it all
>yourself in a bigger Fusion device. Time will tell, I  guess.
ADC is 600k samples per second.
Not bad.

Article: 93077
Subject: Re: Hello PPl, is there a way of locking a design (NGC) to a particular FPGA board?
From: "Antti Lukats" <antti@openchip.org>
Date: Tue, 13 Dec 2005 14:58:41 +0100
Links: << >>  << T >>  << A >>
"Ray Andraka" <ray@andraka.com> schrieb im Newsbeitrag 
news:WaAnf.17576$Mi5.17212@dukeread07...
> Antti Lukats wrote:
>
>> all 1-wire products *must* have unique number, it is IMPOSSIBLE to 
>> something else
>>
>> Antti
> Well, not impossible.  The codes are customized to the customer.  IIRC, it 
> is a 48 bit code, and part of that number is a unique number assigned to 
> the customer, and part is a range of numbers assigned to that customer. 
> You can (or at least you used to be able to) get duplicate numbers within 
> your range.  The codes, as I understand it, are added after the silicon is 
> manufactured.
>
> The problem with using these in attempt to secure a bitstream is that the 
> code is not secure...anyone with a data sheet and an oscilloscope or logic 
> analyzer can extract the serial number easily.  Once you have the serial 
> number, it is nearly trivial to create a circuit that will mimic the 
> dallas part using what ever serial number you want to use.  These parts 
> are intended for electronic serial numbers, not for secure encryption 
> keys.

Hi Ray,

you are one of the very few I am little bit 'scared' to argue with, but

from maxim:
"Unique, factory-lasered and tested 64-bit registration number assures 
absolute traceability because no two parts are alike"

this applies for the 1-wire 64 ROM code what is PRODUCT code + 48 bit serial 
+ CRC

normally most "Key" things use only this code as the key, what is TOTAL 
bullshit and nonsense as it way easier to copy a dallas memory button then 
nornal door-key, having a reader in your lap and placing your hand for a 
second would do the job. As soon as you have the 64 bits then its easy to 
rebuild the 'emulator' - I have not done that, but I know what it takes. 
surprisingly many companies are selling products that use this 64 bit rom 
code as security key. one example is Atmel FPLSIC dongle, but there are many 
many more.

the suggestion in this thread was to use secure SHA-1 memory for the 
protection, not the rom id code. DS2432 includes several nonvalotile areas 
that are protected and provide somewhat higher degree of safety. Whatever is 
written into those areas can sure be anything.

I have not checked the xilinx bitstream security application for design 
flows, it could be that the SHA-1 is not used or not used properly yielding 
the actualy protection to near void.

some hard macros from that XAPP I think are not correct at least failed with 
ISE 7.1 so I assume that design has not been tested a very big extent. The 
random number generator at least will not work out of box (at least not on 
all supported fpga families). And ah well the actual protection level 
depends on many more aspects if this approuch is used to protect edif or ngc 
files the protection level would be lower than protection .bit files

Antti
















Article: 93078
Subject: Re: Mean value filter
From: "gabor" <gabor@alacron.com>
Date: 13 Dec 2005 06:09:40 -0800
Links: << >>  << T >>  << A >>
wtxwtx@gmail.com wrote:
> Hi,
> 1. I want to know how to deal with marginal data for mean value filter,
>
> the pixels that cannot get full 9 neighboring pixels in general.
>

A common practice is to "mirror" pixels into the area surrounding the
actual image data.  Thus if you imagine extending your data array
by placing mirrors at the 4 edges you should see that the top (1st) row
would repeat to the row above it, but the row above that would contain
data from the second row etc.  Of course for a 3 x 3 operation (I
think you meant 8 neighboring pixels) you only need one extra row
or column.

> 2. What is the best algorithm for mean value filter? Where is the
> related paper?
>

A mean filter is just an average.  This looks just like a convolution
where
the coefficients are all the same.  This isn't particularly good as a
filter
so you might want to explore with other values.  Another filter often
used is the median filter which takes the median rather than the mean
of the 3 x 3 (or other size)  group of pixels.  This is often used to
remove
"speckles."

> 3. what book is the best one describing under what conditions mean
> value filter is useful?
>

There are a number of good resources for image processing.  "Video
Demystified" is one of my favorites.  Also for general algorithms I've
used "The Scientist and Engineer's Guide to Digital Signal Processing"
by Steven W Smith, published at one time by Analog Devices.

> Thank you. 
> 
> Weng


Article: 93079
Subject: Re: How can I surpress noise in an ADC board?
From: "PeteS" <ps@fleetwoodmobile.com>
Date: 13 Dec 2005 06:15:42 -0800
Links: << >>  << T >>  << A >>
Frank wrote:
> While I have only a 40MHz clock connected to a 10 bit ADC, nothing connected
> to
> the analog input sockets, the chip is Analog AD9218 (ADC chip) EVM board. On
> the LA, sampled outputs are 0~16 for one channel, -32~0 for the other
> channel (400 MHz
> timing mode). Is my board faulty?
>
> According to my simulation in digital design, my sampled inputs (10 bits
> each) can not
> have noise higher than 1 LSB (flipping small number of bit 0 causes
> demodulation error).
>
> Is the setup I have workable or croaked?

I took a look at the data sheet

For the noise question:

1. The inputs need a low inpedance source. Someone already noted trying
to tie the inputs to ground.

2. The Sample and hold (as with all sample and holds) will mix any
extraneous noise, regardless of the precautions taken in the silicon.

3. A 10 bit converter usually has somewhere of the order of 8.5 ENOB
(Effective number of bits)

4. The output will definitely be flipping codes if the input is at the
(current) threshold.

I would not expect the samples to be varying up and down too far,
but...

The datasheet shows pretty superior performance, but you still have a
limited differential input range of either  1 or 2V (depending on
device). So 1 LSB equates to just under a millivolt for the 1V range.
It's not hard to get a few millivolts of noise onto an analog signal.
Signal shielding and low inpedance drive are two of the various tricks
we have up our sleeves.

Keep in mind (you say you are demodulating a signal) that the various
errors on the A-D section can get you +/-18LSB (worst case ) offset
error, up to 8% fullscale (for 1V, that's 80mV) gain error and
differential nonlinearity (variances between the encoding levels) of up
to 1LSB. This all in addition to the irreducible (well, at the Nyquist
limit) +/-0.5LSB quantization error. Note that differential
nonlinearity acts as a curvature on the conversion curve which we would
like to be a perfectly straight line.

For the immediate question, for an unterminated input in a noisy
environment (and most things are noisy at the millivolt level), I don't
think you are seeing anything really unexpected. It can be dealt with
though.

Cheers

PeteS


Article: 93080
Subject: Re: How can I surpress noise in an ADC board?
From: Jerry Avins <jya@ieee.org>
Date: Tue, 13 Dec 2005 10:46:55 -0500
Links: << >>  << T >>  << A >>
Frank wrote:
> While I have only a 40MHz clock connected to a 10 bit ADC, nothing connected
> to
> the analog input sockets, the chip is Analog AD9218 (ADC chip) EVM board. On
> the LA, sampled outputs are 0~16 for one channel, -32~0 for the other
> channel (400 MHz
> timing mode). Is my board faulty?
> 
> According to my simulation in digital design, my sampled inputs (10 bits
> each) can not
> have noise higher than 1 LSB (flipping small number of bit 0 causes
> demodulation error).
> 
> Is the setup I have workable or croaked?

1) Turn on a an autoranging digital multimeter with the test leads 
dangling. You will probably see the reading bounce around from +/-5 to 
10 mV. Now twist the leads and short the ends. You probably won't see 
more than 1 mV that way.

2) Put your finger on your sound system's input terminal with nothing 
else connected to it. Set the volume control to a normal level.

Either procedure will demonstrate the need for thought and care with 
analog signals. I'm sure you knew that, but it's easy to overlook.

Jerry
-- 
Engineering is the art of making what you want from things you can get.
ŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻ

Article: 93081
Subject: Re: Xilinx floating point core 1.0
From: mk<kal*@dspia.*comdelete>
Date: Tue, 13 Dec 2005 16:40:06 GMT
Links: << >>  << T >>  << A >>
On Tue, 13 Dec 2005 14:07:20 +0100, kl31n <"kl31n(get rid of this to
write me back)"@hotmail.com> wrote:

>I'm having some hard time to understand what's wrong with this Xilinx
>floating-point core included in the last IP update for LogicCORE.
>
>My design requires me to acquire data from an ADC and then, after some
>processing to do a division between a couple floating point numbers every
>200ns.
>
>The performances of the core aren't big enough to use just one, so I
>implemented a core which feeds several dividers(made with the Xilinx core)
>and then I reserialize it all.
>
>The design works fine till I pass numbers with a period down to 260ns,
>going for lower periods the results get weird: the mantissa is correct, the
>exponent instead is always fixed to 00111111, whatever it's supposed to be
>instead.
>
>If anybody can offer some insight or even suggest a way of debugging, it
>would be much appreciated because at the moment I don't have any idea of
>what could be wrong.
>
>Thanks in advace,
>
>kl31n

Before thinking about debugging, have you done any timing
verifications ? Look at your timing reports first. Even with the
slowest divider (at 30 cycle latency) and device (at around 200MHz) it
shouldn't take more than 150ns though. Are you aware that the divider
has a relatively large latency (30 Max apparently) ? How did you
configure your dividers, what's your reported latency ? Again read
your timing reports and pay attention to how you're feeding the
dividers.


Article: 93082
Subject: re:MMC(MultiMedia Card) interfacing with FPGA
From: fahadislam2002@hotmail-dot-com.no-spam.invalid (fahadislam2002)
Date: Tue, 13 Dec 2005 11:16:11 -0600
Links: << >>  << T >>  << A >>
We turned to serial CRC7 and implemented it using "for loop" as want
for 40 bits ... and it give result in same cycle ... although is an
inefficient approach (takes more hardware)
i feel your approach is better than us ... we will try it ...
     Anyways thanks Anti ... will meet u soon with some other issues


Article: 93083
Subject: Re: How can I surpress noise in an ADC board?
From: John_W_Herman@yahoo.com (John Herman)
Date: Tue, 13 Dec 2005 17:22:22 GMT
Links: << >>  << T >>  << A >>
ENOB is relative to the maximum signal level and isn't much help if a 
measurement of system noise is desired.  

I might tie the inputs of my A/D converter to ground through a resister that 
approximates the source impedance the converter would see in normal operation. 
 This should give a better idea of what is expected.  I would not leave the 
terminals open in any case.

In article <1134483342.048722.116970@z14g2000cwz.googlegroups.com>, "PeteS" 
<ps@fleetwoodmobile.com> wrote:
>Frank wrote:
>> While I have only a 40MHz clock connected to a 10 bit ADC, nothing connected
>> to
>> the analog input sockets, the chip is Analog AD9218 (ADC chip) EVM board. On
>> the LA, sampled outputs are 0~16 for one channel, -32~0 for the other
>> channel (400 MHz
>> timing mode). Is my board faulty?
>>
>> According to my simulation in digital design, my sampled inputs (10 bits
>> each) can not
>> have noise higher than 1 LSB (flipping small number of bit 0 causes
>> demodulation error).
>>
>> Is the setup I have workable or croaked?
>
>I took a look at the data sheet
>
>For the noise question:
>
>1. The inputs need a low inpedance source. Someone already noted trying
>to tie the inputs to ground.
>
>2. The Sample and hold (as with all sample and holds) will mix any
>extraneous noise, regardless of the precautions taken in the silicon.
>
>3. A 10 bit converter usually has somewhere of the order of 8.5 ENOB
>(Effective number of bits)
>
>4. The output will definitely be flipping codes if the input is at the
>(current) threshold.
>
>I would not expect the samples to be varying up and down too far,
>but...
>
>The datasheet shows pretty superior performance, but you still have a
>limited differential input range of either  1 or 2V (depending on
>device). So 1 LSB equates to just under a millivolt for the 1V range.
>It's not hard to get a few millivolts of noise onto an analog signal.
>Signal shielding and low inpedance drive are two of the various tricks
>we have up our sleeves.
>
>Keep in mind (you say you are demodulating a signal) that the various
>errors on the A-D section can get you +/-18LSB (worst case ) offset
>error, up to 8% fullscale (for 1V, that's 80mV) gain error and
>differential nonlinearity (variances between the encoding levels) of up
>to 1LSB. This all in addition to the irreducible (well, at the Nyquist
>limit) +/-0.5LSB quantization error. Note that differential
>nonlinearity acts as a curvature on the conversion curve which we would
>like to be a perfectly straight line.
>
>For the immediate question, for an unterminated input in a noisy
>environment (and most things are noisy at the millivolt level), I don't
>think you are seeing anything really unexpected. It can be dealt with
>though.
>
>Cheers
>
>PeteS
>

Article: 93084
Subject: Re: Xilinx floating point core 1.0
From: Mike Treseler <mike_treseler@comcast.net>
Date: Tue, 13 Dec 2005 09:28:27 -0800
Links: << >>  << T >>  << A >>
kl31n wrote:

> If anybody can offer some insight or even suggest a way of debugging

I would write a testbench
and run a sim.

         -- Mike Treseler

Article: 93085
Subject: Question about Progamming File generation report
From: "=?iso-8859-1?B?R2FMYUt0SWtVc5k=?=" <taileb.mehdi@gmail.com>
Date: 13 Dec 2005 09:31:57 -0800
Links: << >>  << T >>  << A >>
In my bgn file (report file of the last step: genrate programming file)
I got the followig warning:

WARNING:PhysDesignRules:781 - PULLDOWN on an active net. PULLDOWN of
comp
   s_o<0>/s_o<0> is set but the tri state is not configured.

I have the following constaints in my ucf file:

NET s_o<0>  LOC = G5;  #GPLED0
NET "s_o<*>" PULLDOWN;
NET "s_o<*>" TIG;
NET "s_o<*>" SLEW = SLOW;
NET "s_o<*>" DRIVE = 2;

Can anybody help?

Mehdi


Article: 93086
Subject: Re: Which decides my design's max frequency?
From: Mike Treseler <mike_treseler@comcast.net>
Date: Tue, 13 Dec 2005 09:47:40 -0800
Links: << >>  << T >>  << A >>
Binary wrote:

> I want to my chip to run as fast as possible but what is
> the max value, which determines it?

fmax = 1/(Tcq+Tgate+Troute+Tsetup)
        for the slowest path between registers.

A post-route static timing analysis
will measure this for your design.

        --Mike Treseler

Article: 93087
Subject: Re: who can help me? i want to know the bitsream format of Virtex-II
From: "Antti Lukats" <antti@openchip.org>
Date: Tue, 13 Dec 2005 18:49:00 +0100
Links: << >>  << T >>  << A >>

"GaLaKtIkUsT" <taileb.mehdi@gmail.com> schrieb im Newsbeitrag 
news:1134403664.635669.155490@f14g2000cwb.googlegroups.com...
> Binary format and secret!
> Good for protecting designs against piracy but too many problems for
> those who want to do dynamic reconf :-(
>
> Mehdi
>
there is some info about the format available :)

and a utility

http://xilant.com/component/option,com_remository/Itemid,53/func,fileinfo/id,6/

bit2frames can be used to find some bit locations, etc...

supports spartan3 converts .BIT file to redable "frames dump"

antti 



Article: 93088
Subject: fiddling directly with LUT bits on Xilinx
From: "John" <jdc-rootbeer@hotmail.com>
Date: 13 Dec 2005 10:05:57 -0800
Links: << >>  << T >>  << A >>
Hi All
Is there anyway to directly stash bits into Xilinx (Spartan in this
case) LUTs ?   I need to hand-build a very small part of my design.
Any suggestions?
Thanks. -jc


Article: 93089
Subject: Re: fiddling directly with LUT bits on Xilinx
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Tue, 13 Dec 2005 18:11:12 -0000
Links: << >>  << T >>  << A >>
You can do this in FPGA Editor. If you are working in Webpack I don't 
believe that tool is included. You can either tweek the design after p&r or 
alternative create a macro that you use over and over.

John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
Board.
http://www.enterpoint.co.uk


"John" <jdc-rootbeer@hotmail.com> wrote in message 
news:1134497157.471856.291020@o13g2000cwo.googlegroups.com...
> Hi All
> Is there anyway to directly stash bits into Xilinx (Spartan in this
> case) LUTs ?   I need to hand-build a very small part of my design.
> Any suggestions?
> Thanks. -jc
> 



Article: 93090
Subject: Re: fiddling directly with LUT bits on Xilinx
From: "Antti Lukats" <antti@openchip.org>
Date: Tue, 13 Dec 2005 19:19:29 +0100
Links: << >>  << T >>  << A >>

"John" <jdc-rootbeer@hotmail.com> schrieb im Newsbeitrag 
news:1134497157.471856.291020@o13g2000cwo.googlegroups.com...
> Hi All
> Is there anyway to directly stash bits into Xilinx (Spartan in this
> case) LUTs ?   I need to hand-build a very small part of my design.
> Any suggestions?
> Thanks. -jc
>
http://www.rockylogic.com/freebies.html

its for virtex but should also work for others

antti 



Article: 93091
Subject: Re: 3/2 with virtex 300
From: "HB" <bhb22l@yahoo.fr>
Date: Tue, 13 Dec 2005 19:34:22 +0100
Links: << >>  << T >>  << A >>
I need a choice between 2 solutions :

first solution:
I can use a Freq=48MHz to create a 32MHz (multi *2, and div 3).
But the signal for this freq isn't locate at a clock PIN (old card, so I
can't change the PINOUT).
This signal is locate PIN number AA4 in a Virtex XCV300-FG456).
I have some problems to use DLL and BUF.
Is someone could help me (use DLL and/or BUF without dedicated clk pin) !!.

second solution:
I can use a Freq=32MHz to create a 48MHz (multi*3, and div 2).
But it very difficult to have this multi *3 !.

I need a clk with a good precision, and if possible with around 50/50 of
duty cycle.

THANKS LOT for your help. Any suggestion will appreciate.
Regards.

Benoit.


"Austin Lesea" <austin@xilinx.com> a écrit dans le message news:
dnl3hk$f6910@xco-news.xilinx.com...
> So it is....
>
> Looks like getting 48 MHz from 32 MHz is not going to be as easy as it
> first appears!
>
> What kind of output duty cycle and max jitter is needed?
>
> Austin
>
> Symon wrote:
>
> > "Austin Lesea" <austin@xilinx.com> wrote in message
> > news:dnku2g$f699@xco-news.xilinx.com...
> >
> >>HB,
> >>
> >>In Virtex, the DLL may be used for mutliply by 2.  That gets you to 64
> >>MHz.  Then a subsequent DLL may be used for divide by 1.5 to get 48 MHz.
> >>
> >>Or, you may use a /1.5 circuit made out of FF's and a LUT.
> >>
> >>http://www.xilinx.com/xcell/xl33/xl33_30.pdf
> >>
> >>Austin
> >>
> >
> > Would be a great solution, except that, sadly, 64MHz divided by 1.5 is
> > 42.667 MHz, or thereabouts.
> > Cheers, Syms.
> >
> >



Article: 93092
Subject: Future of Microchip Development Tools?
From: "Bill Giovino" <editor@nospam-microcontroller.com>
Date: Tue, 13 Dec 2005 14:21:17 -0500
Links: << >>  << T >>  << A >>
http://Microcontroller.com/Embedded.asp?did=142

I'm taking a fast short survey of Microchip users to help decide what future directions
development tools should take. The survey is confidential - I don't even ask for your
email address, O.K.?

It's only 11 short questions that take less than a minute. Unless you really want
to get opinionated ("Embedded Developers opinionated?!?? Nah!!!") in which case, go
ahead, "comment" to your heart's content...!

http://Microcontroller.com/Embedded.asp?did=142

I'm basically trying to figure out whose development tools are completely reliable, and
whose tools really suck. And it's your opportunity to say whatever you want to say -
really!

If you have problems or praise, for any toolkit, TELL ME NOW or forever hold your, uh,
peace...

Thanks for your support,

- Bill Giovino
  Executive Editor
  http://Microcontroller.com
  "Stamping out Shoddy Tools since 1995"




Article: 93093
Subject: Frequency dependent SOPC builder components
From: "avishay" <avishorp@yahoo.com>
Date: 13 Dec 2005 11:24:05 -0800
Links: << >>  << T >>  << A >>
Hello all,
I'm designing a component for integration in Altera's SOPC builder, for
which I have to set some frequency dependent constants (for timing
generation). How can I pass the component's assigned frequency from the
SOPC builder to the VHDL? I can do either with VHDL generic or some
kind of dynamic source code modification using the build script.

Thanks,
Avishay


Article: 93094
Subject: Re: Mean value filter
From: wtxwtx@gmail.com
Date: 13 Dec 2005 11:52:48 -0800
Links: << >>  << T >>  << A >>
Hi Gabor,
Thank you for your response.

1. 'Mirror' operation is interesting.

2. I wrote wrong. The correct name should be median filter, not mean
filter.

3. I have the book, but didn't find the median filter item in it.

4. Do you have an algorithm that is very efficient?

Weng

Weng


Article: 93095
Subject: Re: Future of Microchip Development Tools?
From: Jim Granville <no.spam@designtools.co.nz>
Date: Wed, 14 Dec 2005 09:34:41 +1300
Links: << >>  << T >>  << A >>
Bill Giovino wrote:
<snip off-topic for comp.arch.fpga, and comp.realtime>
> I'm basically trying to figure out whose development tools are completely reliable, and
> whose tools really suck. And it's your opportunity to say whatever you want to say -
> really!

  To what end ? Who is paying for this, and what do you propose to do 
with the results ?
  You have already trawled comp.arch.embedded on this - what was wrong 
with those replies ?
-jg


Article: 93096
Subject: Re: Future of Microchip Development Tools?
From: "Bill Giovino" <editor@nospam-microcontroller.com>
Date: Tue, 13 Dec 2005 15:49:05 -0500
Links: << >>  << T >>  << A >>
"Jim Granville" wrote...
> Bill Giovino wrote:
> > I'm basically trying to figure out whose development tools are completely reliable,
and
> > whose tools really suck. And it's your opportunity to say whatever you want to say -
> > really!
>
>   To what end ? Who is paying for this, and what do you propose to do
> with the results ?

I'm looking to expand the sample.

The results will help us determine the focus of a brand new User Support section of
Microcontroller.com that we'll be opening in February.






Article: 93097
Subject: Re: 3/2 with virtex 300
From: "Symon" <symon_brewer@hotmail.com>
Date: 13 Dec 2005 22:31:16 +0100
Links: << >>  << T >>  << A >>
"HB" <bhb22l@yahoo.fr> wrote in message 
news:dnn44o$lu5$1@s1.news.oleane.net...
>I need a choice between 2 solutions :
>
> first solution:
> I can use a Freq=48MHz to create a 32MHz (multi *2, and div 3).
> But the signal for this freq isn't locate at a clock PIN (old card, so I
> can't change the PINOUT).
> This signal is locate PIN number AA4 in a Virtex XCV300-FG456).
> I have some problems to use DLL and BUF.
> Is someone could help me (use DLL and/or BUF without dedicated clk pin) 
> !!.
>
Benoit,
This is the way to go. You can use any pin as an input clock. IIRC you may 
need to instantiate an IBUF and the DLL/GBUF in your code. There must be 
examples of this in previous postings here and in Xilinx's documentation.
Cheers, Syms. 



Article: 93098
Subject: Re: Hello PPl, is there a way of locking a design (NGC) to a particular
From: Ray Andraka <ray@andraka.com>
Date: Tue, 13 Dec 2005 16:33:34 -0500
Links: << >>  << T >>  << A >>
Antti Lukats wrote:


Antti, no reason to be scared of arguing with me.  I'm not always right, 
you know!

My experience with these 1 wire electronic serial numbers was with 
Dallas semiconductor parts almost 15 years ago.  In that case, the 
company I was working for was able to get duplicates made by Dallas.

Like you mentioned, these offer close to zero security.  Any yo-yo with 
an ounce of electronics knowledge can obtain the code from it, and it 
doesn't take much more than that to make a circuit that will mimic the 
serial number.  We did for testing in the lab using a PIC microcontroller.

So even with Maxim, it isn't technically impossible to get a duplicate, 
it is just made procedurally inconvenient by a company policy,but that's it.

Article: 93099
Subject: Re: fiddling directly with LUT bits on Xilinx
From: "John_H" <johnhandwork@mail.com>
Date: Tue, 13 Dec 2005 21:38:18 GMT
Links: << >>  << T >>  << A >>
"Antti Lukats" <antti@openchip.org> wrote in message 
news:dnn395$tgl$1@online.de...
>
> "John" <jdc-rootbeer@hotmail.com> schrieb im Newsbeitrag 
> news:1134497157.471856.291020@o13g2000cwo.googlegroups.com...
>> Hi All
>> Is there anyway to directly stash bits into Xilinx (Spartan in this
>> case) LUTs ?   I need to hand-build a very small part of my design.
>> Any suggestions?
>> Thanks. -jc
>>
> http://www.rockylogic.com/freebies.html
>
> its for virtex but should also work for others
>
> antti

Nice VHDL example.  It's pretty simple to do the LUT instantiation in 
Verilog as well.  The INIT parameter just needs the right value which can be 
provided through localparam values where I0=16'haaaa, I1=16'hcccc, 
I2=16'hf0f0, and I3=16'hff00.  The instantiation is pretty straightforward; 
one example starts off:

  LUT3 #( I0 & I1 | ~I0 & I2 )
    MyLut3Name[3:0] ( .O(myOut), .I0( ... 





Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search