Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 78325

Article: 78325
Subject: Re: Is Atmel producing Altera EPCS memories???
From: "Ulf Samuelsson" <ulf@a-t-m-e-l.com>
Date: Sat, 29 Jan 2005 12:53:18 +0100
Links: << >>  << T >>  << A >>
"Jedi" <me@aol.com> skrev i meddelandet
news:wPrKd.272$Xu6.53@read3.inet.fi...
> According to a Lattice internal Atmel is the manufacturer
> of the overprized Altera EPCS devices.
>
> At least it would make sense since Atmel is not responding
> to SPI flash memory requests...they just keep on forwarding
> emails.
>
>  From the technology point of view it should be either ST or
> Nexflash. OTOH they send samples almost right away...
> well...had to kick Nexflash several times until they do (o;
>
>
> rick


Atmel has had problems in the sample department during 2004.
Since december I have received everything I wanted in less than one week,
so hopefully things have improved.

One of the problems was that if there were a problem with the sample order
(like too many ordered), then nothing was even seen by the sample dept
and thus not delivered.
You may want to retry ordering the samples.
--
Best Regards,
Ulf Samuelsson
ulf@a-t-m-e-l.com
This message is intended to be my own personal view and it
may or may not be shared by my employer Atmel Nordic AB



Article: 78326
Subject: Re: Is Atmel producing Altera EPCS memories???
From: Jedi <me@aol.com>
Date: Sat, 29 Jan 2005 12:08:10 GMT
Links: << >>  << T >>  << A >>
Ulf Samuelsson wrote:
> "Jedi" <me@aol.com> skrev i meddelandet
> news:wPrKd.272$Xu6.53@read3.inet.fi...
> 
>>According to a Lattice internal Atmel is the manufacturer
>>of the overprized Altera EPCS devices.
>>
>>At least it would make sense since Atmel is not responding
>>to SPI flash memory requests...they just keep on forwarding
>>emails.
>>
>> From the technology point of view it should be either ST or
>>Nexflash. OTOH they send samples almost right away...
>>well...had to kick Nexflash several times until they do (o;
>>
>>
>>rick
> 
> 
> 
> Atmel has had problems in the sample department during 2004.
> Since december I have received everything I wanted in less than one week,
> so hopefully things have improved.
> 
> One of the problems was that if there were a problem with the sample order
> (like too many ordered), then nothing was even seen by the sample dept
> and thus not delivered.
> You may want to retry ordering the samples.

Actually this wasn't the original question (o;

I already have samples from SST, ST and Nexflash on the way (o;


rick


> --
> Best Regards,
> Ulf Samuelsson
> ulf@a-t-m-e-l.com
> This message is intended to be my own personal view and it
> may or may not be shared by my employer Atmel Nordic AB
> 
> 

Article: 78327
Subject: Re: Altera subscriptions deleted?
From: "Leon Heller" <leon_heller@hotmail.com>
Date: Sat, 29 Jan 2005 12:19:08 -0000
Links: << >>  << T >>  << A >>
"Leon Heller" <leon_heller@hotmail.com> wrote in message 
news:41fb5586$0$19160$cc9e4d1f@news-text.dial.pipex.com...
> "Jedi" <me@aol.com> wrote in message news:GbIKd.68$2p.27@read3.inet.fi...
>> What happended to the susbcription information
>> if you log into your "mySupport" page at Altera?
>>
>>
>> Altera_ID and software subscription informations are gone!
>
> I just checked, and the Altera web site was down. Perhaps they are fixing 
> the problem.

It's up agian but it didn't recognise my password. I got a new password (my 
user name was OK) and it seems OK now.

Leon 



Article: 78328
Subject: Re: Quartus II megafunction
From: Rene Tschaggelar <none@none.net>
Date: Sat, 29 Jan 2005 13:39:56 +0100
Links: << >>  << T >>  << A >>
Jezwold wrote:

> I havent seen this bug but wouldn't it be better to directly
> instantiate the single port ram in your code rather than using the
> wizard function?

There are those using the schematic approach...

Rene
-- 
Ing.Buero R.Tschaggelar - http://www.ibrtses.com
& commercial newsgroups - http://www.talkto.net

Article: 78329
Subject: Re: Sensitive List Question
From: "Jezwold" <edad3000@yahoo.co.uk>
Date: 29 Jan 2005 06:35:10 -0800
Links: << >>  << T >>  << A >>
yes if you use modelsim you will find an option called synthesis check
, or something like that which basically checks that all the items in a
process that effect the logic flow have entries in the sensitivity list.


Article: 78330
Subject: Re: Quartus II megafunction
From: "Jezwold" <edad3000@yahoo.co.uk>
Date: 29 Jan 2005 06:44:05 -0800
Links: << >>  << T >>  << A >>
This is true I can see that would be a problem if all your wizards
called the wrong functions.It might make for an interesting design.It
might produce something far more exciting than you originaly planned.


Article: 78331
Subject: Re: Altera Quartus 4.2 Service Pack 1 fails to install
From: "Subroto Datta" <sdatta@altera.com>
Date: Sat, 29 Jan 2005 15:07:25 GMT
Links: << >>  << T >>  << A >>
Hi Rick,

    Something is not adding up. If you installed Quartus II 4.2 twice into 
the directory c:\altera\quartus2, did you uninstall QuartusII 42 the first 
time before installing a second time. If you did not uninstall this may have 
confused Installshield, and the registry settings that it makes to detect 
the multiple selections. I do not have the registry locations in front of 
me, but do send me your email and I can have someone contact you on Monday 
to help you with this.

In the meantime  try to uninstall Quartus II 4.2 using the Quartus II 4.2 
Uninstall, Repair or Modify command from the Start->Altera->Quartus II 4.2 
Uninstall, Repair or Modify command. This may or may not work if the 
registry settings that describe the Quartus locations are mixed up. If this 
command works try installing a new version of QII 4.2 in a separate 
directory e.g. d:\quartus42 and then install the SP1 service pack.

Hope this helps,
Subroto Datta
Altera Corp.


"Installed a 2nd copy of Quartus 4.2 but it still fails to find any
installation. Just keeps telling:

"Quartus 4.2 Full Version is not isntalled on this machine."
I haven't given a different name during Quartus installation.
And the directories are located as normal in c:\altera\quartus42.
So what does it look for exactly? Environment settings?

rick"



Article: 78332
Subject: Re: Platform Cable USB on WinXP with SP2
From: "Pete Dudley" <pete.dudley@comcast.net>
Date: Sat, 29 Jan 2005 08:18:22 -0700
Links: << >>  << T >>  << A >>
We just purchased a couple of the Platform USB cables and you should be 
warned that the with the present firmware the performance is atrocious. It 
took 30 minutes to download to an XC95144 PLD and I believe the same 
problems exists for programming serial proms.

Answer Record # 20429 
(http://support.xilinx.com/xlnx/xil_ans_display.jsp?iLanguageID=1&iCountryID=1&getPagePath=20429) 
states:

8. Why are PROM and CPLD programming operations slower when using Platform 
Cable USB compared to Parallel Cable IV?

PROM and CPLD programming algorithms typically require a programming pulse 
for small blocks of configuration data. The proprietary protocol currently 
being used for Platform Cable USB is optimized for delivery of large blocks 
of data. The overhead associated with delivery of small data blocks over a 
half-duplex medium is responsible for the inefficiency. Programming times 
will be improved in future service packs.

"Neil Glenn Jacobson" <n.e.i.l.j.a.c.o.b.s.o.n.a.t.x.i.l.i.n.x.c.o.m.> wrote 
in message news:ct6100$jqr1@cliff.xsj.xilinx.com...
> Sean,
>
> The cable will most likely work in XP SP2.  Our testing was indeed limited 
> in duration and intensity owing to the conflicting schedules of XP SP2 
> release and the release of the cable but that testing did show full 
> functionality on our systems.
>
> Sean Durkin wrote:
>> Hi again,
>>
>> I'm thinking about buying one of Xilinx' Platform USB cables. However, it 
>> says in answer record 20429:
>>
>> "Windows XP SP2 is not an officially supported environment."
>>
>> I guess that means Xilinx just hasn't tested it in this environment (or 
>> at least they hadn't last time the answer record was updated), hence they 
>> won't guarantee it will work.
>>
>> Has anyone successfully used it or encountered any problems?
>>
>
>
> -- 
>
>     *CAUTION:* Shameless self-promotion follows...
> 



Article: 78333
Subject: Attempts to run Quartus Web Edition in linux (wine)
From: Daniel Forchheimer <n04df@efd.lth.se>
Date: Sat, 29 Jan 2005 17:23:45 +0100
Links: << >>  << T >>  << A >>
Hello,

Since my budget as a student doesn't really cover buying a full version 
of Quartus for linux for 2000$ I've been trying to get the Web Edition 
to run in wine.

I want to go all the way from verilog-file to a .rbf-file which the file 
that I download to the fpga (a Pluto-II board from fpga4fun.com). In 
windows I can run the command 'quartus_sh.exe --flow compile' to do 
this. That command apperently runs the three programs 
'quarts_map','quartus_fit' and 'quartus_asm'.

In wine I can get quartus_map and quartus_asm to work. But not 
quartus_fit. Here are the last lines from it:

|Info: Finished moving registers into I/Os, LUTs, DSP and RAM blocks
|Info: Finished register packing
|
|abnormal program termination

My first question is if anyone have succeeded running Quartus WE under 
wine before? The version I run is 4.1.

My other idea might be totaly wrong since I'm a noob and havn't really 
understood all the steps involed in the compile process (like what 
exactly the synthesis does and when you get the real file that you can 
run in the fpga etc.)

But what I was wondering is if there are any other programs that can 
perform the steps that quartus_fit does. I have understood that you need 
Alteras own software to create the final bit-files that you load into an 
altera fpga. But I was thinking that since quartus_asm is running fine, 
maybe I can create the under files using some other software, like 
iverilog or something. Or is the fit-step also part of the things that 
is specific to altera?

Thanks
Daniel Forchheimer

Article: 78334
Subject: Re: Altera Quartus 4.2 Service Pack 1 fails to install
From: Jedi <me@aol.com>
Date: Sat, 29 Jan 2005 16:39:26 GMT
Links: << >>  << T >>  << A >>
Subroto Datta wrote:
> Hi Rick,
> 
>     Something is not adding up. If you installed Quartus II 4.2 twice into 
> the directory c:\altera\quartus2, did you uninstall QuartusII 42 the first 
> time before installing a second time. If you did not uninstall this may have 
> confused Installshield, and the registry settings that it makes to detect 
> the multiple selections. I do not have the registry locations in front of 
> me, but do send me your email and I can have someone contact you on Monday 
> to help you with this.
> 
> In the meantime  try to uninstall Quartus II 4.2 using the Quartus II 4.2 
> Uninstall, Repair or Modify command from the Start->Altera->Quartus II 4.2 
> Uninstall, Repair or Modify command. This may or may not work if the 
> registry settings that describe the Quartus locations are mixed up. If this 
> command works try installing a new version of QII 4.2 in a separate 
> directory e.g. d:\quartus42 and then install the SP1 service pack.

Removed all Altera software and deleted all registry settings...
After installing Q4.2 SP1 still complains...

Have already an open service request there...


> 
> Hope this helps,
> Subroto Datta
> Altera Corp.
> 
> 
> "Installed a 2nd copy of Quartus 4.2 but it still fails to find any
> installation. Just keeps telling:
> 
> "Quartus 4.2 Full Version is not isntalled on this machine."
> I haven't given a different name during Quartus installation.
> And the directories are located as normal in c:\altera\quartus42.
> So what does it look for exactly? Environment settings?
> 
> rick"
> 
> 

Article: 78335
Subject: Re: Sensitive List Question
From: Mike Treseler <mike_treseler@comcast.net>
Date: Sat, 29 Jan 2005 10:50:43 -0800
Links: << >>  << T >>  << A >>
James wrote:

> in the end I should just add all my values to the sensitivity list, so that 
> the synthese tool is happy? 

Consider using synchronous processes.

         -- Mike Treseler

Article: 78336
Subject: material finding, edk on Linux
From: "Hur" <jaeyoung_hur@yahoo.com>
Date: Sat, 29 Jan 2005 20:24:05 +0100
Links: << >>  << T >>  << A >>
hello

i just installed linux version EDK 6.3
and i am finding how to use it with no success
i could not even "load mhs *.mhs".....

If someone has experience on EDK in linux, please point me out the material
to follow.....--:

thankyou  in advance



Article: 78337
Subject: i need xilinx edk
From: "DJ" <reconfigurablecomputing@gmail.com>
Date: 29 Jan 2005 13:18:35 -0800
Links: << >>  << T >>  << A >>
hi all
does anyone have a cracked copy of xilinx edk....
can you help me getting it..?


Article: 78338
Subject: Re: i need xilinx edk
From: "Jezwold" <edad3000@yahoo.co.uk>
Date: 29 Jan 2005 14:11:11 -0800
Links: << >>  << T >>  << A >>
I shouldn't think anyone is going to help you because you are asking
them to perform a criminal act,which can result in a heavy fine and or
a prison sentence.


Article: 78339
Subject: Re: i need xilinx edk
From: Ziggy <Ziggy@TheCentre.com>
Date: Sat, 29 Jan 2005 22:22:21 GMT
Links: << >>  << T >>  << A >>
Jezwold wrote:
> I shouldn't think anyone is going to help you because you are asking
> them to perform a criminal act,which can result in a heavy fine and or
> a prison sentence.
> 
There are a lot of people dont care about the 'legal' issues either...

Just take a look at any of the more 'pirate orientated' networks and you
will see what i mean.

Im not passing any judgments in either direction, only commenting that 
there are many differing point of views out there..

Article: 78340
Subject: Re: i need xilinx edk
From: "Jezwold" <edad3000@yahoo.co.uk>
Date: 29 Jan 2005 14:41:19 -0800
Links: << >>  << T >>  << A >>
I know that a lot of people don't care much about legal isues regarding
software theft but I just know how I would feel if someone had access
to my work without paying me for it :)
Not that i dont sometimes give stuff away


Article: 78341
Subject: Re: i need xilinx edk
From: ouj <ouj@aludra.usc.edu>
Date: Sat, 29 Jan 2005 15:21:54 -0800
Links: << >>  << T >>  << A >>
Why not try Leon3 or OpenRISC? They are totally free and are with good
performance.

Best regards,
Jingzhao

On 29 Jan 2005, Jezwold wrote:

> I know that a lot of people don't care much about legal isues regarding
> software theft but I just know how I would feel if someone had access
> to my work without paying me for it :)
> Not that i dont sometimes give stuff away
>
>


Article: 78342
Subject: Re: i need xilinx edk
From: Ziggy <Ziggy@TheCentre.com>
Date: Sun, 30 Jan 2005 00:15:12 GMT
Links: << >>  << T >>  << A >>
ouj wrote:
> Why not try Leon3 or OpenRISC? They are totally free and are with good
> performance.
> 
> Best regards,
> Jingzhao
> 
> On 29 Jan 2005, Jezwold wrote:
> 
> 
>>I know that a lot of people don't care much about legal isues regarding
>>software theft but I just know how I would feel if someone had access
>>to my work without paying me for it :)
>>Not that i dont sometimes give stuff away
>>
>>
> 
>


Its sort of a shame that the Leon guy hasnt offered to open the stuff to 
go with leon, like the simulator..

Just too $$ for a hobbiest.. Sort of turned me off, personally..

Now OpenRISC.. that has got my attention, and is on my list ..

Article: 78343
Subject: Re: Trouble with Post-Place Simulation
From: "newman5382" <newman5382@yahoo.com>
Date: Sun, 30 Jan 2005 07:02:47 GMT
Links: << >>  << T >>  << A >>

"Weddick" <weddick@comcast.net> wrote in message 
news:ZZOdnWsnGoUBzGHcRVn-gw@comcast.com...
>I am just starting with VHDL and have been doing software for the last 20 
>years.  My project that I am trying to get working is part of a memory 
>controller which will allow different processes to request access to the 
>memory.
>
> The portion of the code that I posted works great when I do the Behavioral 
> Model Simulation.  When I do the post-Place & Route VHDL Model Simulation 
> the first write cycle does not work.  You can see that it processes 
> through the states but the data out and address lines don't change as 
> expected.
>
> I would appreciate any help with this problem.  Hopefully it's just 
> something stupid.  That always makes me feel better.
>
> Joel
>
>

Joel,
  When I compiled the source to gates, and ran the simulation, I got a lot 
of timing violations.

  In the testbench, how do you control the set up and hold of the inputs to 
the uut with respect to clk? (especially data_valid, rst, ack)  Do you 
constrain tbe implementation with timing constraints from a UCF file?

-Newman 



Article: 78344
Subject: Re: i need xilinx edk
From: "jiri_gaisler" <jiri@gaisler.com>
Date: 30 Jan 2005 03:26:08 -0800
Links: << >>  << T >>  << A >>
> Its sort of a shame that the Leon guy hasnt offered to open the
> stuff to go with leon, like the simulator..

There are free versions of both the simulator and the debug monitor.
You download them without registration, and use them freely for
personal and/or academic purposes.

Jiri Gaisler (the Leon guy ...)


Article: 78345
Subject: Re: Is Atmel producing Altera EPCS memories???
From: "Ulf Samuelsson" <ulf@a-t-m-e-l.com>
Date: Sun, 30 Jan 2005 13:18:26 +0100
Links: << >>  << T >>  << A >>
> >> From the technology point of view it should be either ST or
> >>Nexflash. OTOH they send samples almost right away...
> >>well...had to kick Nexflash several times until they do (o;
> >>
> I already have samples from SST, ST and Nexflash on the way (o;
>
I'd be curious to know why you think ST/Nexflash serial flash are good?

 Best Regards,
Ulf Samuelsson
ulf@a-t-m-e-l.com
This message is intended to be my own personal view and it
may or may not be shared by my employer Atmel Nordic AB



Article: 78346
Subject: Re: i need xilinx edk
From: Ziggy <Ziggy@TheCentre.com>
Date: Sun, 30 Jan 2005 13:30:26 GMT
Links: << >>  << T >>  << A >>
jiri_gaisler wrote:
>>Its sort of a shame that the Leon guy hasnt offered to open the
>>stuff to go with leon, like the simulator..
> 
> 
> There are free versions of both the simulator and the debug monitor.
> You download them without registration, and use them freely for
> personal and/or academic purposes.
> 
> Jiri Gaisler (the Leon guy ...)
> 
Hmm I go look again since i *was* interested ( but not a company with 
deep pockets.. im just a guy with no commercial goals so i got scared
off ), all i saw was the price for on those parts..

But ill find it -- Thanks..




Article: 78347
Subject: Re: Is Atmel producing Altera EPCS memories???
From: Jedi <me@aol.com>
Date: Sun, 30 Jan 2005 13:30:36 GMT
Links: << >>  << T >>  << A >>
Ulf Samuelsson wrote:
>>>>From the technology point of view it should be either ST or
>>>>Nexflash. OTOH they send samples almost right away...
>>>>well...had to kick Nexflash several times until they do (o;
>>>>
>>
>>I already have samples from SST, ST and Nexflash on the way (o;
>>
> 
> I'd be curious to know why you think ST/Nexflash serial flash are good?

Did I say that ST/Nexflash are better than others?

Don't care really much which is better...always comes down to:

	- support
	- price
	- availability

If I would make a top list for SPI flash it would look like:

	1. SST
	2. ST
	3. Nexflash

best regards
rick


ps: And still no answer given regarding Atmel making EPCS chips
     for Altera (o;


> 
>  Best Regards,
> Ulf Samuelsson
> ulf@a-t-m-e-l.com
> This message is intended to be my own personal view and it
> may or may not be shared by my employer Atmel Nordic AB
> 
> 

Article: 78348
Subject: Re: Trouble with Post-Place Simulation
From: "Weddick" <weddick@comcast.net>
Date: Sun, 30 Jan 2005 09:45:21 -0800
Links: << >>  << T >>  << A >>
The only thing that I had put in the UCF file was the clock period.  I will 
admit I don't know much about this file and what should be set.  I attached 
the UCF file and help in additional settings would be appreciated.  Actually 
the UCF file that I created was for the higher level project.  So maybe the 
settings never got flowed down?

Joel


"newman5382" <newman5382@yahoo.com> wrote in message 
news:rE%Kd.8455$JO2.6607@tornado.tampabay.rr.com...
>
> "Weddick" <weddick@comcast.net> wrote in message
> news:ZZOdnWsnGoUBzGHcRVn-gw@comcast.com...
>>I am just starting with VHDL and have been doing software for the last 20
>>years.  My project that I am trying to get working is part of a memory
>>controller which will allow different processes to request access to the
>>memory.
>>
>> The portion of the code that I posted works great when I do the 
>> Behavioral
>> Model Simulation.  When I do the post-Place & Route VHDL Model Simulation
>> the first write cycle does not work.  You can see that it processes
>> through the states but the data out and address lines don't change as
>> expected.
>>
>> I would appreciate any help with this problem.  Hopefully it's just
>> something stupid.  That always makes me feel better.
>>
>> Joel
>>
>>
>
> Joel,
>  When I compiled the source to gates, and ran the simulation, I got a lot
> of timing violations.
>
>  In the testbench, how do you control the set up and hold of the inputs to
> the uut with respect to clk? (especially data_valid, rst, ack)  Do you
> constrain tbe implementation with timing constraints from a UCF file?
>
> -Newman
>
> 


begin 666 mem_demo.ucf
M3D54(")C;&LB(%1.35].150@/2 B8VQK(CL-"E1)34534$5#(")44U]C;&LB
B(#T@4$5224]$(")C;&LB(#(P(&YS($A)1T@@-3 @)3L-"@``
`
end


Article: 78349
Subject: Re: Altera Quartus 4.2 Service Pack 1 fails to install
From: newsmailcomp5@gustad.com
Date: 30 Jan 2005 19:54:58 +0100
Links: << >>  << T >>  << A >>
"Subroto Datta" <sdatta@altera.com> writes:

>     Quartus II 4.2 allows for multiple installations to co-exist on a 
> computer. Prior versions of Quartus II i.e 4.1 and earlier allowed only a 
> single installation to exist on a computer at any one time. When you install 

That must be under Windows. I have all the following versions
installed under Linux: 

quartus2-2.1
quartus2-2.1sp1
quartus2-2.2
quartus2-2.2sp1
quartus2-2.2sp2
quartus2-3.0
quartus2-3.0sp1
quartus2-3.0sp2
quartus2-4.0
quartus2-4.0sp1
quartus2-4.1
quartus2-4.1sp2
quartus2-4.2

I guess the problem under Windows is the global registry.

Petter
-- 
A: Because it messes up the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?



Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search