Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Apr 2005

81797: 05/04/01: digi: IPIF user logic vs. Component insertion
    81846: 05/04/01: beeraka@gmail.com: Re: IPIF user logic vs. Component insertion
    81912: 05/04/04: digi: re:IPIF user logic vs. Component insertion
81798: 05/04/01: more: About Xilnet and LWIP library access on board ML310!
81799: 05/04/01: digi: EDK IPCore insertion
81800: 05/04/01: Stephane: Virtex DCM phase alignment and CLK2X registering
    81809: 05/04/01: Marc Randolph: Re: Virtex DCM phase alignment and CLK2X registering
81801: 05/04/01: =?ISO-8859-15?Q?Andr=E9_Schieleit?=: modelsim: Types do not match
    81802: 05/04/01: nico: Re: modelsim: Types do not match
    81822: 05/04/01: Duane Clark: Re: modelsim: Types do not match
    81895: 05/04/04: =?ISO-8859-15?Q?Andr=E9_Schieleit?=: Re: modelsim: Types do not match
81804: 05/04/01: ALuPin: Hierarchy in Schematic-VHDL Design
    81812: 05/04/01: Subroto Datta: Re: Hierarchy in Schematic-VHDL Design
81807: 05/04/01: <shankar.vk@gmail.com>: problem in driving I2C bus through memory-mapped register
    81808: 05/04/01: Vadim Borshchev: Re: problem in driving I2C bus through memory-mapped register
    81817: 05/04/01: RS: Re: problem in driving I2C bus through memory-mapped register
        81818: 05/04/01: RS: Re: problem in driving I2C bus through memory-mapped register
    81881: 05/04/03: Jet Morgan: Re: problem in driving I2C bus through memory-mapped register
    81908: 05/04/04: Thad Smith: Re: problem in driving I2C bus through memory-mapped register
        82412: 05/04/12: Will: Re: problem in driving I2C bus through memory-mapped register
    82314: 05/04/10: <shankar.vk@gmail.com>: Re: problem in driving I2C bus through memory-mapped register
    82324: 05/04/11: <shankar.vk@gmail.com>: Re: problem in driving I2C bus through memory-mapped register
    82326: 05/04/11: <shankar.vk@gmail.com>: Re: problem in driving I2C bus through memory-mapped register
    82425: 05/04/12: <shankar.vk@gmail.com>: Re: problem in driving I2C bus through memory-mapped register
81810: 05/04/01: Brad Smallridge: Searching for Vision Concavity Algorithm
    81811: 05/04/01: Jonathan Bromley: Re: Searching for Vision Concavity Algorithm
        81837: 05/04/01: Brad Smallridge: Re: Searching for Vision Concavity Algorithm
            81897: 05/04/04: Jonathan Bromley: Re: Searching for Vision Concavity Algorithm
                81930: 05/04/04: Brad Smallridge: Re: Searching for Vision Concavity Algorithm
                    81954: 05/04/05: Jonathan Bromley: Re: Searching for Vision Concavity Algorithm
                        81989: 05/04/05: Ray Andraka: Re: Searching for Vision Concavity Algorithm
                            82142: 05/04/07: Brad Smallridge: Re: Searching for Vision Concavity Algorithm
                        82141: 05/04/07: Brad Smallridge: Re: Searching for Vision Concavity Algorithm
81813: 05/04/01: Antti Lukats: Xilinx tools, bugs all around?
    81816: 05/04/01: Symon: Re: Xilinx tools, bugs all around?
    81842: 05/04/01: Bret Wade: Re: Xilinx tools, bugs all around?
        81928: 05/04/04: Antti Lukats: Re: Xilinx tools, bugs all around?
            81935: 05/04/04: Bret Wade: Re: Xilinx tools, bugs all around?
    81923: 05/04/04: Ray Andraka: Re: Xilinx tools, bugs all around?
81819: 05/04/01: JJ: Transputer delivery
    81826: 05/04/01: Rupert Pigott: Re: Transputer delivery
    81831: 05/04/01: JJ: Re: Transputer delivery
81820: 05/04/01: Papu: ABEL alias names
    81823: 05/04/01: Gabor: Re: ABEL alias names
81821: 05/04/01: Austin Lesea: 4/1
    81824: 05/04/01: Antti Lukats: Re: 4/1
    81825: 05/04/01: JJ: Re: 4/1
    81839: 05/04/01: Ben Twijnstra: Re: 4/1
81827: 05/04/01: Alexander Korff: RAM Synthesized away
    81838: 05/04/01: Brad Smallridge: Re: RAM Synthesized away
        81871: 05/04/03: Alexander Korff: Re: RAM Synthesized away
    81845: 05/04/02: John Retta: Re: RAM Synthesized away
81836: 05/04/01: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Parallelsignal at 85 MHz
    81840: 05/04/01: Teo: Re: Parallelsignal at 85 MHz
    81994: 05/04/05: Eric DELAGE: Re: Parallelsignal at 85 MHz
81841: 05/04/01: <perltcl@yahoo.com>: fpga async design help me
    81927: 05/04/04: Ray Andraka: Re: fpga async design help me
81843: 05/04/01: morpheus: DPSK Receiver in Vertex-4
    81924: 05/04/04: Vic Vadi: Re: DPSK Receiver in Vertex-4
    82138: 05/04/07: morpheus: Re: DPSK Receiver in Vertex-4
81844: 05/04/01: Andromodon: Re: Out of Memory Error comes suddenly.
81847: 05/04/01: beeraka@gmail.com: OPB Master
    81878: 05/04/03: Paul Hartke: Re: OPB Master
81848: 05/04/02: Nemesis: ModelSim XE and WindowsXP
    81856: 05/04/02: Eric DELAGE: Re: ModelSim XE and WindowsXP
    81870: 05/04/03: Nemesis: Re: ModelSim XE and WindowsXP
        81929: 05/04/04: Nemesis: Re: ModelSim XE and WindowsXP
            81996: 05/04/05: Eric DELAGE: Re: ModelSim XE and WindowsXP
                82090: 05/04/06: Nemesis: Re: ModelSim XE and WindowsXP
81849: 05/04/02: Piotr Wyderski: [info] Sine generation
    81851: 05/04/02: Jan Bruns: Re: [info] Sine generation
    81893: 05/04/04: Symon: Re: [info] Sine generation
        81899: 05/04/04: Piotr Wyderski: Re: [info] Sine generation
            81905: 05/04/04: Al Clark: Re: [info] Sine generation
81850: 05/04/02: DerekSimmons@FrontierNet.net: Re: Xbox , chip mod & CPLD
    81875: 05/04/03: KCL: Re: Xbox , chip mod & CPLD
81852: 05/04/02: <milind.parelkar@gmail.com>: Question regarding EDK
    81857: 05/04/02: <milind.parelkar@gmail.com>: Re: Question regarding EDK
81853: 05/04/02: Nju Njoroge: Re: Question regarding EDK
81854: 05/04/02: Ann: RAMB16_S9
    81855: 05/04/02: <milind.parelkar@gmail.com>: Re: RAMB16_S9
    81919: 05/04/04: Ann: Re: RAMB16_S9
        81925: 05/04/04: John_H: Re: RAMB16_S9
    81941: 05/04/04: Ann: Re: RAMB16_S9
        81942: 05/04/04: John_H: Re: RAMB16_S9
    81969: 05/04/05: Ann: Re: RAMB16_S9
        81972: 05/04/05: Paul Hartke: Re: RAMB16_S9
    81975: 05/04/05: Ann: Re: RAMB16_S9
        81982: 05/04/05: John_H: Re: RAMB16_S9
    82004: 05/04/05: Ann: Re: RAMB16_S9
        82009: 05/04/05: John_H: Re: RAMB16_S9
    82012: 05/04/05: Ann: Re: RAMB16_S9
        82020: 05/04/05: John_H: Re: RAMB16_S9
81858: 05/04/02: <milind.parelkar@gmail.com>: IPIF Signals
    81877: 05/04/03: Paul Hartke: Re: IPIF Signals
    81879: 05/04/03: Moti Cohen: Re: IPIF Signals
81862: 05/04/03: Ziggy: Open PowerPC Core?
    81863: 05/04/02: Eric Smith: Re: Open PowerPC Core?
        81874: 05/04/03: Ziggy: Re: Open PowerPC Core?
            81909: 05/04/04: Tobias Weingartner: Re: Open PowerPC Core?
                81920: 05/04/04: Eric Smith: Re: Open PowerPC Core?
                    81947: 05/04/05: David: Re: Open PowerPC Core?
                        81949: 05/04/05: Antti Lukats: Re: Open PowerPC Core?
                            81955: 05/04/05: David: Re: Open PowerPC Core?
                                81970: 05/04/05: Tim: Re: Open PowerPC Core?
                    81997: 05/04/05: Tobias Weingartner: Re: Open PowerPC Core?
                        82013: 05/04/05: Eric Smith: Re: Open PowerPC Core?
                        82070: 05/04/06: Sander Vesik: Re: Open PowerPC Core?
            81952: 05/04/05: Alex Freed: Re: Open PowerPC Core?
                81966: 05/04/05: Antti Lukats: Re: Open PowerPC Core?
                    81973: 05/04/05: Ziggy: Re: Open PowerPC Core?
                        81974: 05/04/05: Antti Lukats: Re: Open PowerPC Core?
                            81977: 05/04/05: Ziggy: Re: Open PowerPC Core?
                81967: 05/04/05: Ziggy: Re: Open PowerPC Core?
                82014: 05/04/05: Eric Smith: Re: Open PowerPC Core?
                82105: 05/04/07: Jeremy Stringer: Re: Open PowerPC Core?
                    82120: 05/04/07: Tommy Thorn: Re: x86 Core?
81864: 05/04/02: Jeffrey Smith: USB blaster
    81868: 05/04/03: info_: Re: USB blaster
81866: 05/04/02: <ranjeeta.patil@gmail.com>: EDK:Question regarding opb_uart
    81880: 05/04/03: Moti Cohen: Re: EDK:Question regarding opb_uart
    81913: 05/04/04: digi: re:EDK:Question regarding opb_uart
81872: 05/04/03: Njuguna Njoroge: XMD : Running XMD with Caches on
    81946: 05/04/04: Peter Ryser: Re: XMD : Running XMD with Caches on
81873: 05/04/03: KCL: Xbox , chip mod & CPLD
81882: 05/04/03: geoffrey wall: PLX-9656 Controller interface
    81890: 05/04/03: leonqin: Re: PLX-9656 Controller interface
81883: 05/04/04: Ross Marchant: XC95108 problem
    81892: 05/04/04: Klaus Falser: Re: XC95108 problem
    81896: 05/04/04: Laurent Gauch: Re: XC95108 problem
        81940: 05/04/05: Ross Marchant: Re: XC95108 problem
    82846: 05/04/18: Alex: Re: XC95108 problem
81884: 05/04/03: AugustoEinsfeldt: how to use both FFs in a CLB's slice using LOC or RLOC
    81885: 05/04/04: Jan Bruns: Re: how to use both FFs in a CLB's slice using LOC or RLOC
    81886: 05/04/03: AugustoEinsfeldt: Re: how to use both FFs in a CLB's slice using LOC or RLOC
    81887: 05/04/04: Bret Wade: Re: how to use both FFs in a CLB's slice using LOC or RLOC
    81888: 05/04/04: Philip Freidin: Re: how to use both FFs in a CLB's slice using LOC or RLOC
    81889: 05/04/03: AugustoEinsfeldt: Re: how to use both FFs in a CLB's slice using LOC or RLOC
    81902: 05/04/04: Brian Drummond: Re: how to use both FFs in a CLB's slice using LOC or RLOC
    81903: 05/04/04: AugustoEinsfeldt: Re: how to use both FFs in a CLB's slice using LOC or RLOC
81891: 05/04/04: Wing Wong: ML310 z-dok connectors
81894: 05/04/04: parity: Xilinx XPower - Accuracy Information
    81901: 05/04/04: Marc Randolph: Re: Xilinx XPower - Accuracy Information
    82049: 05/04/06: Brendan Cullen: Re: Xilinx XPower - Accuracy Information
        82064: 05/04/06: Ray Andraka: Re: Xilinx XPower - Accuracy Information
            82465: 05/04/13: Brendan Cullen: Re: Xilinx XPower - Accuracy Information
    82637: 05/04/15: parity: re:Xilinx XPower - Accuracy Information
        82891: 05/04/19: Brendan Cullen: Re: Xilinx XPower - Accuracy Information
81898: 05/04/04: williams: IBUFG and BUFG +xilinx
    81900: 05/04/04: Marc Randolph: Re: IBUFG and BUFG +xilinx
    81951: 05/04/05: Jim George: Re: IBUFG and BUFG +xilinx
        82017: 05/04/05: v: Re: IBUFG and BUFG +xilinx
            82030: 05/04/05: Jim George: Re: IBUFG and BUFG +xilinx
    82016: 05/04/05: Vladislav Muravin: Re: IBUFG and BUFG +xilinx
81910: 05/04/04: Tobias Weingartner: Reverse engineering ASIC into FPGA
    81915: 05/04/04: Symon: Re: Reverse engineering ASIC into FPGA
        81916: 05/04/04: mk: Re: Reverse engineering ASIC into FPGA
            81917: 05/04/04: Symon: Re: Reverse engineering ASIC into FPGA
                81937: 05/04/04: MikeJ: Re: Reverse engineering ASIC into FPGA
                    81938: 05/04/04: MikeJ: Re: Reverse engineering ASIC into FPGA
                        81968: 05/04/05: Pete Fraser: Re: Reverse engineering ASIC into FPGA
                            81985: 05/04/05: Austin Lesea: Re: Reverse engineering ASIC into FPGA
                                82015: 05/04/05: Ray Andraka: Re: Reverse engineering ASIC into FPGA
                                    82066: 05/04/06: Tobias Weingartner: Re: Reverse engineering ASIC into FPGA
                                        82173: 05/04/07: Ray Andraka: Re: Reverse engineering ASIC into FPGA
                                            82217: 05/04/08: Eric Smith: Reverse engineering masked ROMs, PLAs
                                                82234: 05/04/09: Robert Baer: Re: Reverse engineering masked ROMs, PLAs
                                                    82235: 05/04/08: Eric Smith: Re: Reverse engineering masked ROMs, PLAs
                                                        82241: 05/04/09: Guy Macon: Re: Reverse engineering masked ROMs, PLAs
                                                        82242: 05/04/09: Joe Seigh: Re: Reverse engineering masked ROMs, PLAs
                                                        82275: 05/04/10: Robert Baer: Re: Reverse engineering masked ROMs, PLAs
                                                    82257: 05/04/09: Symon: Re: Reverse engineering masked ROMs, PLAs
                                                82259: 05/04/09: Paul E. Bennett: Re: Reverse engineering masked ROMs, PLAs
                                                82293: 05/04/10: Pi: Re: Reverse engineering masked ROMs, PLAs
                                                    82373: 05/04/12: Delbert Cecchi: Re: Reverse engineering masked ROMs, PLAs
                                                        82406: 05/04/12: Kryten: Re: Reverse engineering masked ROMs, PLAs
                                                            82541: 05/04/14: Peter Monta: Re: Reverse engineering masked ROMs, PLAs
                                                        82447: 05/04/13: Delbert Cecchi: Re: Reverse engineering masked ROMs, PLAs
                                                            82454: 05/04/13: Kelly Hall: Re: Reverse engineering masked ROMs, PLAs
                                                                82509: 05/04/13: Kevin D. Quitt: Re: Reverse engineering masked ROMs, PLAs
                                                                82560: 05/04/14: Lasse Langwadt Christensen: Re: Reverse engineering masked ROMs, PLAs
                    82008: 05/04/05: Ziggy: Re: Reverse engineering ASIC into FPGA
                81999: 05/04/05: Tobias Weingartner: Re: Reverse engineering ASIC into FPGA
        82440: 05/04/12: Clint Sharp: Re: Reverse engineering masked ROMs, PLAs
    81948: 05/04/05: Neo: Re: Reverse engineering ASIC into FPGA
    81979: 05/04/05: JJ: Re: Reverse engineering ASIC into FPGA
    82121: 05/04/06: Neo: Re: Reverse engineering ASIC into FPGA
    82137: 05/04/07: JJ: Re: Reverse engineering ASIC into FPGA
    82166: 05/04/07: Philip Freidin: Re: Reverse engineering ASIC into FPGA
81914: 05/04/04: Vasant: Need Help
    81918: 05/04/04: <milind.parelkar@gmail.com>: Re: Need Help
    81956: 05/04/05: Neo: Re: Need Help
81921: 05/04/04: Thomas Womack: Stupid question
    81922: 05/04/04: mk: Re: Stupid question
        81926: 05/04/04: Jason Zheng: Re: Stupid question
            81939: 05/04/04: Ben Twijnstra: Re: Stupid question
    81953: 05/04/05: Jim George: Re: Stupid question
    81980: 05/04/05: Karl: Re: Stupid question
        81991: 05/04/05: Ray Andraka: Re: Stupid question
            82045: 05/04/06: Martin Thompson: Re: Stupid question
                82065: 05/04/06: Ray Andraka: Re: Stupid question
        82078: 05/04/06: Thomas Womack: Re: Stupid question
    82084: 05/04/06: B. Joshua Rosen: Re: Stupid question
        82175: 05/04/07: Simon: Re: Stupid question
    82129: 05/04/07: Karl: Re: Stupid question
    82131: 05/04/07: Marc Randolph: Re: Stupid question
81944: 05/04/04: CTips: Re: can c++ code be loaded to a hardware PGA coprocessor card
    81957: 05/04/05: Simon Peacock: Re: can c++ code be loaded to a hardware PGA coprocessor card
81945: 05/04/04: JJ: Re: can c++ code be loaded to a hardware PGA coprocessor card
81958: 05/04/05: Hendra: Structural vs Behavioral
    81961: 05/04/05: Jonathan Bromley: Re: Structural vs Behavioral
    81962: 05/04/05: Michel Billaud: Re: Structural vs Behavioral
    81976: 05/04/05: John_H: Re: Structural vs Behavioral
        81983: 05/04/05: Jonathan Bromley: Re: Structural vs Behavioral
        82046: 05/04/06: Martin Thompson: Re: Structural vs Behavioral
        82054: 05/04/06: David: Re: Structural vs Behavioral
    81981: 05/04/05: <Petrov_101@hotmail.com>: Re: Structural vs Behavioral
    81984: 05/04/05: JJ: Re: Structural vs Behavioral
    81992: 05/04/05: JJ: Re: Structural vs Behavioral
81959: 05/04/05: habib bouaziz-viallet: WebPack_7.1 on Linux ?
    81963: 05/04/05: Marius Vollmer: Re: WebPack_7.1 on Linux ?
81960: 05/04/05: <manishr@softjin.com>: DCM LOCKED as reset
    82031: 05/04/05: praveen: Re: DCM LOCKED as reset
    82040: 05/04/05: Eric DELAGE: Re: DCM LOCKED as reset
    82140: 05/04/07: Jochen: Re: DCM LOCKED as reset
81965: 05/04/05: Jedi: Quartus 5
    82229: 05/04/08: Ben Twijnstra: Re: Quartus 5
81971: 05/04/05: Markus Blank: Protection measurements
    82038: 05/04/06: Jeremy Stringer: Re: Protection measurements
81978: 05/04/05: gja: ucf timing constraint question
81986: 05/04/05: Elektro: Book?
    81990: 05/04/05: Elektro: Re: Book?
    81998: 05/04/05: JJ: Re: Book?
81988: 05/04/05: Eric DELAGE: ISA vs. patent/trademark
    81993: 05/04/05: Antti Lukats: Re: ISA vs. patent/trademark
        82001: 05/04/05: Eric DELAGE: Re: ISA vs. patent/trademark
            82026: 05/04/06: Jim Granville: Re: ISA vs. patent/trademark
                82041: 05/04/06: David: Re: ISA vs. patent/trademark
                82047: 05/04/06: Jon Beniston: Re: ISA vs. patent/trademark
            82037: 05/04/06: Ulf Samuelsson: Re: ISA vs. patent/trademark
            82085: 05/04/06: Eric Smith: Re: ISA vs. patent/trademark
                82154: 05/04/07: Everett M. Greene: Re: ISA vs. patent/trademark
                    82163: 05/04/07: Nick Maclaren: Re: ISA vs. patent/trademark
                    82164: 05/04/07: Terje Mathisen: Re: ISA vs. patent/trademark
                        82183: 05/04/08: Anton Ertl: unaligned loads (was: ISA vs. patent/trademark)
                    82188: 05/04/08: =?iso-8859-1?q?Torben_=C6gidius_Mogensen?=: Re: ISA vs. patent/trademark
                    82213: 05/04/08: Eric Smith: Re: ISA vs. patent/trademark
        82011: 05/04/05: Kai Harrekilde-Petersen: Re: ISA vs. patent/trademark
        82256: 05/04/09: Anne & Lynn Wheeler: Re: ISA vs. patent/trademark
    81995: 05/04/05: <larwe@larwe.com>: Re: ISA vs. patent/trademark
        82000: 05/04/05: Eric DELAGE: Re: ISA vs. patent/trademark
            82021: 05/04/05: Ulf Samuelsson: Re: ISA vs. patent/trademark
                82042: 05/04/06: Joe Pfeiffer: Re: ISA vs. patent/trademark
                    82052: 05/04/06: Nick Maclaren: Re: ISA vs. patent/trademark
                        82059: 05/04/06: Joe Pfeiffer: Re: ISA vs. patent/trademark
                            82086: 05/04/06: Eric Smith: Re: ISA vs. patent/trademark
                                82099: 05/04/06: Joe Pfeiffer: Re: ISA vs. patent/trademark
                    82651: 05/04/15: Ulf Samuelsson: Re: ISA vs. patent/trademark
                82053: 05/04/06: Rob Warnock: Re: ISA vs. patent/trademark
                82123: 05/04/07: David: Re: ISA vs. patent/trademark
                82128: 05/04/07: Jon Beniston: Re: ISA vs. patent/trademark
                    82130: 05/04/07: Nick Maclaren: Re: ISA vs. patent/trademark
        82036: 05/04/06: Sander Vesik: Re: ISA vs. patent/trademark
    82002: 05/04/05: <larwe@larwe.com>: Re: ISA vs. patent/trademark
    82027: 05/04/05: JJ: Re: ISA vs. patent/trademark
    82033: 05/04/05: Dan Koren: Re: ISA vs. patent/trademark
        82039: 05/04/06: Tauno Voipio: Re: ISA vs. patent/trademark
            82060: 05/04/06: Dave Hansen: Re: ISA vs. patent/trademark
                82072: 05/04/06: Tauno Voipio: Re: ISA vs. patent/trademark
            82073: 05/04/06: Everett M. Greene: Re: ISA vs. patent/trademark
                82087: 05/04/06: Eric Smith: Re: ISA vs. patent/trademark
    82067: 05/04/06: <jsavard@ecn.ab.ca>: Re: ISA vs. patent/trademark
    82068: 05/04/06: <jsavard@ecn.ab.ca>: Re: ISA vs. patent/trademark
    82117: 05/04/06: JJ: Re: ISA vs. patent/trademark
    82158: 05/04/07: John Mashey: Re: ISA vs. patent/trademark
    82161: 05/04/07: John Mashey: Re: ISA vs. patent/trademark
    82167: 05/04/07: JJ: Re: ISA vs. patent/trademark
    82174: 05/04/07: John Mashey: Re: ISA vs. patent/trademark
    82204: 05/04/08: <jsavard@ecn.ab.ca>: Re: ISA vs. patent/trademark
    82255: 05/04/09: <jsavard@ecn.ab.ca>: Re: ISA vs. patent/trademark
82003: 05/04/05: Ico Doornekamp: ISE 7.1 unisims and cver simulation
    82044: 05/04/06: Uwe Bonnes: Re: ISE 7.1 unisims and cver simulation
82005: 05/04/05: Antti Lukats: 80x86 verilog (not complete!) sources released
    82118: 05/04/06: vax, 9000: Re: 80x86 verilog (not complete!) sources released
        82122: 05/04/07: Antti Lukats: Re: 80x86 verilog (not complete!) sources released
82006: 05/04/05: <kittyawake@gmail.com>: EDK-Creating new peripheral
    82018: 05/04/05: Paul Hartke: Re: EDK-Creating new peripheral
    82055: 05/04/06: digi: re:EDK-Creating new peripheral
    82107: 05/04/06: beeraka@gmail.com: Re: EDK-Creating new peripheral
82019: 05/04/05: fpgavhdl@gmail.com: FPGA with 2 JTAG ports
    82022: 05/04/06: Repzak: Re: FPGA with 2 JTAG ports
        82023: 05/04/06: info_: Re: FPGA with 2 JTAG ports
    82025: 05/04/05: Neil Glenn Jacobson: Re: FPGA with 2 JTAG ports
        82075: 05/04/06: Antti Lukats: Re: FPGA with 2 JTAG ports
            82083: 05/04/06: Antti Lukats: Re: FPGA with 2 JTAG ports
        82091: 05/04/06: Neil Glenn Jacobson: Re: FPGA with 2 JTAG ports
    82074: 05/04/06: fpgavhdl@gmail.com: Re: FPGA with 2 JTAG ports
    82082: 05/04/06: fpgavhdl@gmail.com: Re: FPGA with 2 JTAG ports
82028: 05/04/05: johnp: Xilinx V2-Pro + Select Map programming
    82034: 05/04/06: Dave Vanden Bout: Re: Xilinx V2-Pro + Select Map programming
    82035: 05/04/05: Marc Randolph: Re: Xilinx V2-Pro + Select Map programming
        82093: 05/04/06: Dave Vanden Bout: Re: Xilinx V2-Pro + Select Map programming
            82171: 05/04/08: Bob: Re: Xilinx V2-Pro + Select Map programming
    82061: 05/04/06: johnp: Re: Xilinx V2-Pro + Select Map programming
    82170: 05/04/07: johnp: Re: Xilinx V2-Pro + Select Map programming
82029: 05/04/06: Jan Bruns: CPLD: collapse
    82032: 05/04/06: Jan Bruns: Re: collapse
        82095: 05/04/06: vax, 9000: Re: collapse
            82096: 05/04/06: Jan Bruns: Re: collapse
    82043: 05/04/06: Kar: Re: CPLD: collapse
        82103: 05/04/07: Jan Bruns: Re: CPLD: collapse
82048: 05/04/06: Anthony Ellis: Spartan II/E Configuration readback
    82340: 05/04/11: Philip Freidin: Re: Spartan II/E Configuration readback
82050: 05/04/06: Christoph Lauer: HWICAP BRAM access (with EDK)
    82051: 05/04/06: Antti Lukats: Re: HWICAP BRAM access (with EDK)
82057: 05/04/06: Enzo B.: A "simple" problem...
    82058: 05/04/06: Antti Lukats: Re: A "simple" problem...
        82080: 05/04/06: Enzo B.: Re: A "simple" problem...
            82081: 05/04/06: Antti Lukats: Re: A "simple" problem...
                82132: 05/04/07: Enzo B.: Re: A "simple" problem...
                    82133: 05/04/07: Antti Lukats: Re: A "simple" problem...
                        82139: 05/04/07: Enzo B.: Re: A "simple" problem...
82062: 05/04/06: khansa: VHDL to schematic conversion
    82069: 05/04/06: Hans (no-spam): Re: VHDL to schematic conversion
    82092: 05/04/06: Hendra: Re: VHDL to schematic conversion
    82112: 05/04/06: Robert: Re: VHDL to schematic conversion
82063: 05/04/06: Keith_eng_fyp: Xilinx ISE Input Pins Problem
    82079: 05/04/06: Thomas Womack: Re: Xilinx ISE Input Pins Problem
82071: 05/04/06: Eaglet_bff: How to use the library in VHDL (ISE)?
82076: 05/04/06: Praveen: Single Event Functional Interrupts (SEFI) in Virtex
    82077: 05/04/06: Peter Alfke: Re: Single Event Functional Interrupts (SEFI) in Virtex
    82088: 05/04/06: Austin Lesea: Re: Single Event Functional Interrupts (SEFI) in Virtex
        82089: 05/04/06: Austin Lesea: Re: Single Event Functional Interrupts (SEFI) in Virtex
        82100: 05/04/06: Austin Lesea: Re: Single Event Functional Interrupts (SEFI) in Virtex
            82152: 05/04/07: Austin Lesea: Re: Single Event Functional Interrupts (SEFI) in Virtex
    82097: 05/04/06: Praveen: Re: Single Event Functional Interrupts (SEFI) in Virtex
    82147: 05/04/07: Praveen: Re: Single Event Functional Interrupts (SEFI) in Virtex
    82153: 05/04/07: Kris Vorwerk: Re: Single Event Functional Interrupts (SEFI) in Virtex
        82162: 05/04/07: Austin Lesea: Re: Single Event Functional Interrupts (SEFI) in Virtex
82094: 05/04/06: gallen: Modelsim simulations without ISE
    82108: 05/04/06: Jim Wu: Re: Modelsim simulations without ISE
    82109: 05/04/06: Praveen: Re: Modelsim simulations without ISE
    82110: 05/04/06: Praveen: Re: Modelsim simulations without ISE
82101: 05/04/06: soos: LVDS PCI card is needed
    82102: 05/04/06: Austin Lesea: Re: LVDS PCI card is needed
        82616: 05/04/14: austin: Re: LVDS PCI card is needed
    82114: 05/04/06: cwoodring: Re: LVDS PCI card is needed
    82127: 05/04/07: John Adair: Re: LVDS PCI card is needed
        82353: 05/04/11: Nial Stewart: Re: LVDS PCI card is needed
            82397: 05/04/12: John Adair: Re: LVDS PCI card is needed
        82355: 05/04/11: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: LVDS PCI card is needed
    82610: 05/04/14: soos: Re: LVDS PCI card is needed
82104: 05/04/06: Alex: Xilinx ISE 7.1i / stuck down XCR3064 outputs
    82106: 05/04/07: Ross Marchant: Re: Xilinx ISE 7.1i / stuck down XCR3064 outputs
        82119: 05/04/07: Jim Granville: Re: Xilinx ISE 7.1i / stuck down XCR3064 outputs
            82149: 05/04/07: Symon: Re: Xilinx ISE 7.1i / stuck down XCR3064 outputs
    82113: 05/04/06: Alex: Re: Xilinx ISE 7.1i / stuck down XCR3064 outputs
    82146: 05/04/07: Alex: Re: Xilinx ISE 7.1i / stuck down XCR3064 outputs
    82531: 05/04/13: Arthur: Re: Xilinx ISE 7.1i / stuck down XCR3064 outputs
82111: 05/04/06: Quiet Desperation: Hey Xilinx
    82115: 05/04/06: Teo: Re: Hey Xilinx
    82125: 05/04/07: Jon Beniston: Re: Hey Xilinx
        82216: 05/04/08: Eric Smith: Re: Hey Xilinx
            82218: 05/04/08: Nicholas Weaver: Re: Hey Xilinx
    82126: 05/04/07: John Adair: Re: Hey Xilinx
    82151: 05/04/07: <vbetz@altera.com>: Re: Hey Xilinx
82116: 05/04/06: cwoodring: xilinx appnote 636
82124: 05/04/07: kingkang: Sdram controller on the Altera Cyclone board!
    82150: 05/04/07: Nick: Re: Sdram controller on the Altera Cyclone board!
82134: 05/04/07: Antti Lukats: 8 pit PWM generator in one Xilinx Slice !
    82135: 05/04/07: Antti Lukats: Re: 8 pit PWM generator in one Xilinx Slice !
82136: 05/04/07: Christoph Lauer: Major Adresses on Xilinx Virtex-II
82143: 05/04/07: Brijesh: Slow rising strobe used to clock IOB's, can it cause trouble?
    82144: 05/04/07: Peter Alfke: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
        82157: 05/04/07: Brijesh: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
            82203: 05/04/08: Brijesh: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
                82207: 05/04/08: Symon: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82145: 05/04/07: Symon: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
        82159: 05/04/07: Brijesh: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
            82169: 05/04/08: Jim Granville: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82160: 05/04/07: Peter Alfke: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82243: 05/04/09: Sebastian Weiser: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
        82333: 05/04/11: Brijesh: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
            82388: 05/04/12: Sebastian Weiser: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
                82473: 05/04/13: Sebastian Weiser: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
                82913: 05/04/19: Brijesh: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
                    82967: 05/04/20: Sebastian Weiser: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
                    82968: 05/04/20: Sebastian Weiser: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
                        83005: 05/04/21: Brijesh: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
        82336: 05/04/11: Brijesh: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82248: 05/04/09: Peter Alfke: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82260: 05/04/09: Peter Alfke: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82452: 05/04/12: Peter Alfke: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82484: 05/04/13: Peter Alfke: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
    82925: 05/04/19: Peter Alfke: Re: Slow rising strobe used to clock IOB's, can it cause trouble?
82148: 05/04/07: Leon Heller: Interesting article about Xilinx FPGAs in the new Cray
    82155: 05/04/07: JJ: Re: Interesting article about Xilinx FPGAs in the new Cray
    82168: 05/04/07: Kryten: Re: Interesting article about Xilinx FPGAs in the new Cray
        82187: 05/04/08: Stephane: Re: Interesting article about Xilinx FPGAs in the new Cray
82156: 05/04/07: grupa1: ADPCM IP core
82165: 05/04/07: geoffrey wall: xilinx embedded MAC
    82845: 05/04/18: Vic Vadi: Re: xilinx embedded MAC
82172: 05/04/07: Jason Berringer: FPGA Layout question
    82202: 05/04/08: Symon: Re: FPGA Layout question
        82206: 05/04/08: Jason Berringer: Re: FPGA Layout question
            82222: 05/04/08: Symon: Re: FPGA Layout question
                82226: 05/04/08: Jason Berringer: Re: FPGA Layout question
                    82228: 05/04/08: Symon: Re: FPGA Layout question
                        82371: 05/04/11: Jason Berringer: Re: FPGA Layout question
    82221: 05/04/08: John_H: Re: FPGA Layout question
        82223: 05/04/08: Symon: Re: FPGA Layout question
82176: 05/04/07: <Sudhir.Singh@email.com>: Clock Jitter on Xilinx FPGA
    82177: 05/04/08: Antti Lukats: Re: Clock Jitter on Xilinx FPGA
        82179: 05/04/08: Antti Lukats: Re: Clock Jitter on Xilinx FPGA
        82220: 05/04/08: John_H: Re: Clock Jitter on Xilinx FPGA
    82178: 05/04/07: <Sudhir.Singh@email.com>: Re: Clock Jitter on Xilinx FPGA
    82181: 05/04/07: <Sudhir.Singh@email.com>: Re: Clock Jitter on Xilinx FPGA
    82189: 05/04/08: Marc Randolph: Re: Clock Jitter on Xilinx FPGA
    82212: 05/04/08: Falk Brunner: Re: Clock Jitter on Xilinx FPGA
82180: 05/04/07: Jim George: Linux VHDL Simulator
    82184: 05/04/08: Tuukka Toivonen: Re: Linux VHDL Simulator
82182: 05/04/07: g. giachella: Difference between BUFGDS and IBUFDS on clocks
82185: 05/04/08: Kedar P. Apte: FPGA Configuration Simulation
    82186: 05/04/08: Antti Lukats: Re: FPGA Configuration Simulation
82190: 05/04/08: Frank van Eijkelenburg: running microblaze from bram through OPB-bus
    82193: 05/04/08: Aurelian Lazarut: Re: running microblaze from bram through OPB-bus
        82199: 05/04/08: Paul Hartke: Re: running microblaze from bram through OPB-bus
            82385: 05/04/12: Frank van Eijkelenburg: Re: running microblaze from bram through OPB-bus
                82424: 05/04/12: Paul Hartke: Re: running microblaze from bram through OPB-bus
82191: 05/04/08: Wojtek: DCT
    82200: 05/04/08: Pete Fraser: Re: DCT
82192: 05/04/08: steve: DLL feedback delay
82196: 05/04/08: <junkmail@fastertechnology.com>: Heatsinks with fan for Xilinx FF1152 on PCI card
    82201: 05/04/08: Symon: Re: Heatsinks with fan for Xilinx FF1152 on PCI card
    83010: 05/04/21: <junkmail@fastertechnology.com>: Re: Heatsinks with fan for Xilinx FF1152 on PCI card
82197: 05/04/08: stockton: Simualtion of Rocket I/O MGT in ModelSim XE
    82198: 05/04/08: Paul Hartke: Re: Simualtion of Rocket I/O MGT in ModelSim XE
        82503: 05/04/13: Engineering Guy: Re: Simualtion of Rocket I/O MGT in ModelSim XE
    82567: 05/04/14: digi: re:Simualtion of Rocket I/O MGT in ModelSim XE
82205: 05/04/08: Elektro: PicoBlaze JTAG Program Loader problems
    82208: 05/04/08: Antti Lukats: Re: PicoBlaze JTAG Program Loader problems
        82224: 05/04/08: Elektro: Re: PicoBlaze JTAG Program Loader problems
            82240: 05/04/09: Antti Lukats: Re: PicoBlaze JTAG Program Loader problems
                82247: 05/04/09: Elektro: Re: PicoBlaze JTAG Program Loader problems
82209: 05/04/08: Thomas Karolyshyn: Altera programming via Embedded processor
    82210: 05/04/08: Antti Lukats: Re: Altera programming via Embedded processor
82211: 05/04/08: Dave Colson: XST -vlgincdir
    82304: 05/04/10: Uwe Bonnes: Re: XST -vlgincdir
        82329: 05/04/11: Dave Colson: Re: XST -vlgincdir
82214: 05/04/08: ViKi: ML310 xirtex II pro development board: HOW TO WRITE onto the DDR DIMM?
    82236: 05/04/08: beeraka@gmail.com: Re: ML310 xirtex II pro development board: HOW TO WRITE onto the DDR DIMM?
82215: 05/04/08: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Getting started with Virtex-II Pro LC Dev Board
    82219: 05/04/08: =?UTF-8?B?QmVuamFtaW4gTWVua8O8Yw==?=: Re: Getting started with Virtex-II Pro LC Dev Board
        82227: 05/04/08: Ed McGettigan: Re: Getting started with Virtex-II Pro LC Dev Board
            82231: 05/04/09: =?UTF-8?B?QmVuamFtaW4gTWVua8O8Yw==?=: Re: Getting started with Virtex-II Pro LC Dev Board
    82244: 05/04/09: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: Getting started with Virtex-II Pro LC Dev Board
82225: 05/04/08: vax, 9000: rules to assign pins to FPGA?
    82232: 05/04/08: Gary Pace: Re: rules to assign pins to FPGA?
    82251: 05/04/09: Jim Wu: Re: rules to assign pins to FPGA?
        82299: 05/04/10: Mike Treseler: Re: rules to assign pins to FPGA?
    82294: 05/04/10: dfx: Re: rules to assign pins to FPGA?
    82448: 05/04/12: vax, 9000: Re: rules to assign pins to FPGA?
82230: 05/04/08: Anthony Mahar: ISE/Impact 7.1 Linux Driver problems
    82233: 05/04/08: Alex: Re: ISE/Impact 7.1 Linux Driver problems
        82237: 05/04/09: Anthony Mahar: Re: ISE/Impact 7.1 Linux Driver problems
82238: 05/04/09: Rudolf Usselmann: ise 7.1 sp1 BEWARE !
    82245: 05/04/09: Bret Wade: Re: ise 7.1 sp1 BEWARE !
        82246: 05/04/09: Antti Lukats: Re: ise 7.1 sp1 BEWARE !
        82265: 05/04/10: Rudolf Usselmann: Re: ise 7.1 sp1 BEWARE !
            82301: 05/04/10: Bret Wade: Re: ise 7.1 sp1 BEWARE !
                82456: 05/04/13: Rudolf Usselmann: Re: ise 7.1 sp1 BEWARE !
82239: 05/04/09: Rudolf Usselmann: ISE 7.1 for 64 bit Linux ???
    82250: 05/04/09: Jim Wu: Re: ISE 7.1 for 64 bit Linux ???
        82252: 05/04/09: Thomas Entner: Re: ISE 7.1 for 64 bit Linux ???
        82253: 05/04/09: mk: Re: ISE 7.1 for 64 bit Linux ???
            82283: 05/04/10: Jim Wu: Re: ISE 7.1 for 64 bit Linux ???
    82380: 05/04/11: Marc Randolph: Re: ISE 7.1 for 64 bit Linux ???
        82458: 05/04/13: Rudolf Usselmann: Re: ISE 7.1 for 64 bit Linux ???
            82516: 05/04/13: Eric Smith: Re: ISE 7.1 for 64 bit Linux ???
                82550: 05/04/14: Rudolf Usselmann: Re: ISE 7.1 for 64 bit Linux ???
                    82603: 05/04/14: Eric Smith: Re: ISE 7.1 for 64 bit Linux ???
82249: 05/04/09: Chinix: How to debug with XMD
82254: 05/04/09: leexiaofat: where can i get xilinx ise 7.1 evalution ?
    82274: 05/04/10: Eric Smith: Re: where can i get xilinx ise 7.1 evalution ?
        82369: 05/04/11: Steve Lass: Re: where can i get xilinx ise 7.1 evalution ?
82258: 05/04/09: <kittyawake@gmail.com>: EDK: Microblaze with XMdstub
    82263: 05/04/09: Paul Hartke: Re: EDK: Microblaze with XMdstub
    82264: 05/04/10: Dave Vanden Bout: Re: EDK: Microblaze with XMdstub
        82365: 05/04/12: John Williams: Re: EDK: Microblaze with XMdstub
    82313: 05/04/10: <kittyawake@gmail.com>: Re: EDK: Microblaze with XMdstub
82261: 05/04/09: e: Neural Networks in FPGA
    82262: 05/04/09: Symon: Re: Neural Networks in FPGA
        82268: 05/04/09: John Larkin: Re: Neural Networks in FPGA
            82279: 05/04/10: Symon: Re: Neural Networks in FPGA
            82297: 05/04/10: Nick: Re: Neural Networks in FPGA
    82305: 05/04/10: JJ: Re: Neural Networks in FPGA
    82311: 05/04/11: John Williams: Re: Neural Networks in FPGA
    82335: 05/04/11: Peter Sommerfeld: Re: Neural Networks in FPGA
        82538: 05/04/14: Peter Sutton: Re: Neural Networks in FPGA
    82546: 05/04/13: Ray Andraka: Re: Neural Networks in FPGA
    82548: 05/04/13: Peter Alfke: Re: Neural Networks in FPGA
82266: 05/04/10: Rudolf Usselmann: ISE 7.1 won't play with EDK 6.3 ????
    82267: 05/04/10: Antti Lukats: Re: ISE 7.1 won't play with EDK 6.3 ????
82269: 05/04/10: Antti Lukats: Spartan-3E based board available now? or is Memec advertizing vaporware ?
    82270: 05/04/10: Simon Peacock: Re: Spartan-3E based board available now? or is Memec advertizing vaporware ?
        82272: 05/04/10: Antti Lukats: Re: Spartan-3E based board available now? or is Memec advertizing vaporware ?
82271: 05/04/10: randomdude@gmail.com: A PCI FPGA card I found on ebay
    82273: 05/04/10: Antti Lukats: Re: A PCI FPGA card I found on ebay
        82277: 05/04/10: Antti Lukats: Re: A PCI FPGA card I found on ebay
    82276: 05/04/10: randomdude@gmail.com: Re: A PCI FPGA card I found on ebay
    82288: 05/04/10: randomdude@gmail.com: Re: A PCI FPGA card I found on ebay
82278: 05/04/10: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: clk_div illigal connection
    82281: 05/04/10: austin: Re: clk_div illigal connection
82280: 05/04/10: Riz: Question about Xilinx OPB/PCI bridge
82282: 05/04/10: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: vhdl and clock-pin
    82284: 05/04/10: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: vhdl and clock-pin
    82300: 05/04/10: dfx: Re: vhdl and clock-pin
82285: 05/04/10: JJ: Re: Neural Networks in FPGA
    82302: 05/04/10: e: Re: Neural Networks in FPGA
82286: 05/04/10: starfire: edk annual renewal cost?
    82287: 05/04/10: Antti Lukats: Re: edk annual renewal cost?
        82290: 05/04/10: Ziggy: Re: edk annual renewal cost?
            82292: 05/04/10: Antti Lukats: Re: edk annual renewal cost?
                82295: 05/04/10: Ziggy: Re: edk annual renewal cost?
                    82298: 05/04/10: Antti Lukats: Re: edk annual renewal cost?
    82296: 05/04/10: Duane Clark: Re: edk annual renewal cost?
82289: 05/04/10: Antti Lukats: Re: implement the JTAG MASTER --ACT8990 by using FPGA
82291: 05/04/10: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: vhdl code for the 2-line lcd on xilinx boards
    82357: 05/04/11: info_: Re: vhdl code for the 2-line lcd on xilinx boards
82303: 05/04/10: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: LVDS for lcd panel and RocketIO
    82307: 05/04/10: General Schvantzkoph: Re: LVDS for lcd panel and RocketIO
    82315: 05/04/11: Dave Garnett: Re: LVDS for lcd panel and RocketIO
        82321: 05/04/11: Antti Lukats: Re: LVDS for lcd panel and RocketIO
        82322: 05/04/11: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: LVDS for lcd panel and RocketIO
82306: 05/04/10: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Problem with appnote XAPP622 (SDR LVDS)
    82343: 05/04/11: Ed McGettigan: Re: Problem with appnote XAPP622 (SDR LVDS)
82308: 05/04/10: Ankit Raizada: Shared bus on FPGA
    82309: 05/04/10: Ankit Raizada: Re: Shared bus on FPGA
    82323: 05/04/11: Mark McDougall: Re: Shared bus on FPGA
    82338: 05/04/11: Brijesh: Re: Shared bus on FPGA
82310: 05/04/10: strayblue: re:implement the JTAG MASTER --ACT8990 by using FPGA
    82312: 05/04/11: Antti Lukats: Re: re:implement the JTAG MASTER --ACT8990 by using FPGA
82316: 05/04/11: robertp: xapp134 on sdram controllers: @ bits reordering?
    82416: 05/04/12: Martin Thompson: Re: xapp134 on sdram controllers: @ bits reordering?
82317: 05/04/11: yp: easyfpga is not easy
    82377: 05/04/11: Kar: Re: easyfpga is not easy
        82384: 05/04/12: yp: Re: easyfpga is not easy
            82393: 05/04/12: Simon Peacock: Re: easyfpga is not easy
82318: 05/04/11: C. Peter: CCD and Graphics - which FPGA?
    82320: 05/04/11: Stephane: Re: CCD and Graphics - which FPGA?
        82461: 05/04/13: Acceed See: Re: CCD and Graphics - which FPGA?
    82485: 05/04/13: Eric DELAGE: Re: CCD and Graphics - which FPGA?
    82612: 05/04/14: c d saunter: Re: CCD and Graphics - which FPGA?
    82790: 05/04/18: C. Peter: Re: CCD and Graphics - which FPGA?
        82795: 05/04/18: Jonathan Bromley: Re: CCD and Graphics - which FPGA?
            83160: 05/04/25: Christian Peter: Re: CCD and Graphics - which FPGA?
82319: 05/04/11: Amontec, Larry: Re: implement the JTAG MASTER --ACT8990 by using FPGA
82325: 05/04/11: Jason Wu: XMD only operating in compatibility mode under Suse9.2 pro
82327: 05/04/11: Clemens Hermann: Altera and VHDL library
    82359: 05/04/11: avishay: Re: Altera and VHDL library
    82362: 05/04/11: Ben Twijnstra: Re: Altera and VHDL library
        82395: 05/04/12: Frank Quakernack: Re: Altera and VHDL library
    82446: 05/04/12: Subroto Datta: Re: Altera and VHDL library
        82459: 05/04/13: Clemens Hermann: Re: Altera and VHDL library
    82511: 05/04/13: Subroto Datta: Re: Altera and VHDL library
82328: 05/04/11: nkishorebabu123: Xilinx Platform Studio - Vertex II Pro board
    82330: 05/04/11: Antti Lukats: Re: Xilinx Platform Studio - Vertex II Pro board
82331: 05/04/11: Jens Baumann: free HDL ebook?
82332: 05/04/11: Praveen Krishnamurthy: Application using coprocessor interface
    82375: 05/04/11: Paul Hartke: Re: Application using coprocessor interface
82334: 05/04/11: morpheus: DC component removal in FPGA
    82339: 05/04/11: Antti Lukats: Re: DC component removal in FPGA
        82434: 05/04/12: Antti Lukats: Re: DC component removal in FPGA
    82341: 05/04/11: Ray Andraka: Re: DC component removal in FPGA
    82348: 05/04/11: John Larkin: Re: DC component removal in FPGA
        82378: 05/04/11: John Larkin: Re: DC component removal in FPGA
    82376: 05/04/11: morpheus: Re: DC component removal in FPGA
    82431: 05/04/12: morpheus: Re: DC component removal in FPGA
    82433: 05/04/12: morpheus: Re: DC component removal in FPGA
    82444: 05/04/12: morpheus: Re: DC component removal in FPGA
82337: 05/04/11: Preben Holm: Timing
    82351: 05/04/11: Symon: Re: Timing
        82358: 05/04/11: Preben Holm: Re: Timing
            82367: 05/04/11: Symon: Re: Timing
        82741: 05/04/17: Preben Holm: Re: Timing
            82810: 05/04/18: Symon: Re: Timing
                82823: 05/04/18: Preben Holm: Re: Timing
                82824: 05/04/18: Preben Holm: Re: Timing
    82368: 05/04/11: Praveen: Re: Timing
    82379: 05/04/11: Marc Randolph: Re: Timing
82342: 05/04/11: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: lcd controller - how to realize it?
    82344: 05/04/11: Elektro: Re: lcd controller - how to realize it?
        82345: 05/04/11: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: lcd controller - how to realize it?
            82346: 05/04/11: Elektro: Re: lcd controller - how to realize it?
    82354: 05/04/11: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: lcd controller - how to realize it?
    82356: 05/04/11: info_: Re: lcd controller - how to realize it?
        82361: 05/04/12: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: lcd controller - how to realize it?
82347: 05/04/11: Andrew Holme: State of MAX7000S I/O pins before programming
    82363: 05/04/11: Ben Twijnstra: Re: State of MAX7000S I/O pins before programming
        82422: 05/04/12: Ben Twijnstra: Re: State of MAX7000S I/O pins before programming
            82428: 05/04/12: Andrew Holme: Re: State of MAX7000S I/O pins before programming
                82437: 05/04/12: Ben Twijnstra: Re: State of MAX7000S I/O pins before programming
                    82466: 05/04/13: Kar: Re: State of MAX7000S I/O pins before programming
                        82542: 05/04/13: Kar: Re: State of MAX7000S I/O pins before programming
    82420: 05/04/12: <ajholme@hotmail.com>: Re: State of MAX7000S I/O pins before programming
    82467: 05/04/13: Andrew Holme: Re: State of MAX7000S I/O pins before programming
    82468: 05/04/13: Andrew Holme: Re: State of MAX7000S I/O pins before programming
    82469: 05/04/13: Andrew Holme: Re: State of MAX7000S I/O pins before programming
82349: 05/04/11: R!SC: xilinx virtex 4 download cable
    82350: 05/04/11: Ed McGettigan: Re: xilinx virtex 4 download cable
    84605: 05/05/22: Anson Ng: Re: xilinx virtex 4 download cable
    84622: 05/05/23: kurapati: Same problem
        84632: 05/05/23: Ed McGettigan: Re: Same problem
    84719: 05/05/25: kurapati: re:xilinx virtex 4 download cable
        84729: 05/05/25: Ed McGettigan: Re: xilinx virtex 4 download cable
    84875: 05/05/31: kurapati: generate systemACE file in EDK
        84881: 05/05/31: Ed McGettigan: Re: generate systemACE file in EDK
    84920: 05/06/01: kurapati: how to generate system ACE file in EDK
        84936: 05/06/01: Ed McGettigan: Re: how to generate system ACE file in EDK
    85020: 05/06/02: kurapati: re:xilinx virtex 4 download cable
        85042: 05/06/03: Ed McGettigan: Re: xilinx virtex 4 download cable
    85897: 05/06/17: kurapati: implementing webserver application
    85898: 05/06/17: kurapati: found edk example
    86066: 05/06/21: kurapati: problems in using TEMAC
82352: 05/04/11: Mindroad: PLB IPIF on Virtex 2 Pro
82360: 05/04/12: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: process trouble, error: multi source
    82364: 05/04/11: Praveen: Re: process trouble, error: multi source
    82366: 05/04/12: Jeremy Stringer: Re: process trouble, error: multi source
82370: 05/04/11: Amir Intisar: Verilog examples???
    82372: 05/04/11: DerekSimmons@FrontierNet.net: Re: Verilog examples???
        82396: 05/04/12: Amir Intisar: Re: Verilog examples???
            88615: 05/08/23: Jerry: Re: Verilog examples???
82374: 05/04/12: Ross Marchant: Xilinx 7.1 ISE patch - for XC9500/XL/XV and CoolRunnerXPLA3
    82402: 05/04/12: eou4: Re: Xilinx 7.1 ISE patch - for XC9500/XL/XV and CoolRunnerXPLA3
82381: 05/04/11: eou4: Xilinx VirtexII master serial mode problem(cclk)
    82382: 05/04/12: Antti Lukats: Re: Xilinx VirtexII master serial mode problem(cclk)
        82394: 05/04/12: Hal Murray: Re: Xilinx VirtexII master serial mode problem(cclk)
    82386: 05/04/11: eou4: Re: Xilinx VirtexII master serial mode problem(cclk)
    82387: 05/04/11: eou4: Re: Xilinx VirtexII master serial mode problem(cclk)
    82400: 05/04/12: eou4: Re: Xilinx VirtexII master serial mode problem(cclk)
82389: 05/04/12: Antti Lukats: Xilinx PCI Express solution with PX1011A PHY any closer info available?
82390: 05/04/12: greenplanet: question using xapp333
    82524: 05/04/13: Gabor: Re: question using xapp333
82391: 05/04/12: SKH: Import user Core with a Tri-state Port to EDK
    82392: 05/04/12: Antti Lukats: Re: Import user Core with a Tri-state Port to EDK
82398: 05/04/12: John: How do I disable Microblaze on-chip hw debug
    82399: 05/04/12: Antti Lukats: Re: How do I disable Microblaze on-chip hw debug
        82401: 05/04/12: John: Re: How do I disable Microblaze on-chip hw debug
            82403: 05/04/12: Antti Lukats: Re: How do I disable Microblaze on-chip hw debug
                82413: 05/04/12: John: Re: How do I disable Microblaze on-chip hw debug
                    82414: 05/04/12: Antti Lukats: Re: How do I disable Microblaze on-chip hw debug
                    82417: 05/04/12: Frank van Eijkelenburg: Re: How do I disable Microblaze on-chip hw debug
                        82462: 05/04/13: John: Re: How do I disable Microblaze on-chip hw debug
                            82463: 05/04/13: Antti Lukats: Re: How do I disable Microblaze on-chip hw debug
                            82471: 05/04/13: Frank van Eijkelenburg: Re: How do I disable Microblaze on-chip hw debug
82404: 05/04/12: <xiibweb@hotmail.com>: 2 bit multiplier
    82407: 05/04/12: eou4: Re: 2 bit multiplier
        82441: 05/04/12: MikeJ: Re: 2 bit multiplier
    82410: 05/04/12: <xiibweb@hotmail.com>: Re: 2 bit multiplier
82405: 05/04/12: g. giachella: Global buffer feeding non clock pins in VIRTEX II
    82411: 05/04/12: Marc Randolph: Re: Global buffer feeding non clock pins in VIRTEX II
        82443: 05/04/12: gja: Re: Global buffer feeding non clock pins in VIRTEX II
        82455: 05/04/12: g. giachella: Re: Global buffer feeding non clock pins in VIRTEX II
            82615: 05/04/14: gja: Re: Global buffer feeding non clock pins in VIRTEX II
    82453: 05/04/12: Marc Randolph: Re: Global buffer feeding non clock pins in VIRTEX II
82408: 05/04/12: Chinix: Ethnet samples using EDK??
    82409: 05/04/12: Antti Lukats: Re: Ethnet samples using EDK??
        82419: 05/04/12: Antti Lukats: Re: Ethnet samples using EDK??
    82418: 05/04/12: wonttellyahere: Re: Ethnet samples using EDK??
82415: 05/04/12: Dr Justice: 5V PCI interface
    82421: 05/04/12: Austin Lesea: Re: 5V PCI interface
        82423: 05/04/12: Dr Justice: Re: 5V PCI interface
        82442: 05/04/12: gja: Re: 5V PCI interface
            82445: 05/04/13: Dr Justice: Re: 5V PCI interface
            82491: 05/04/13: Austin Lesea: Re: 5V PCI interface
                82498: 05/04/13: Ben Twijnstra: Re: 5V PCI interface
                82527: 05/04/13: gja: Re: 5V PCI interface
                    82530: 05/04/13: Austin Lesea: Re: 5V PCI interface
                        82533: 05/04/13: Ben Twijnstra: Re: 5V PCI interface
                        82539: 05/04/13: gja: Re: 5V PCI interface
                            82601: 05/04/14: gja: Re: 5V PCI interface
                                82605: 05/04/14: Austin Lesea: Re: 5V PCI interface
                                83599: 05/05/03: gja: Re: 5V PCI interface
                                    83606: 05/05/03: Austin Lesea: Re: 5V PCI interface
82426: 05/04/12: strayblue: Re: re:implement the JTAG MASTER --ACT8990 by using FPGA
    82427: 05/04/12: Antti Lukats: Re: re:implement the JTAG MASTER --ACT8990 by using FPGA
82429: 05/04/12: Steve: General question about soft CPUs
    82430: 05/04/12: Subroto Datta: Re: General question about soft CPUs
    82432: 05/04/12: Antti Lukats: Re: General question about soft CPUs
        82449: 05/04/13: Jeff Cunningham: Re: General question about soft CPUs
            82451: 05/04/13: John Williams: Re: General question about soft CPUs
            82479: 05/04/13: Thomas Entner: Re: General question about soft CPUs
    82435: 05/04/12: JJ: Re: General question about soft CPUs
    82436: 05/04/13: Jim Granville: Re: General question about soft CPUs
82438: 05/04/12: Andrew Holme: Quartus POWER_UP_LEVEL bug?
    82450: 05/04/12: Subroto Datta: Re: Quartus POWER_UP_LEVEL bug?
    82460: 05/04/13: Andrew Holme: Re: Quartus POWER_UP_LEVEL bug?
82439: 05/04/12: Nemesis: Error synthesizing two Xilinx MacFir core
82457: 05/04/13: Mohamed Elnamaky: MIMO Channel Estimation WCDMA
82464: 05/04/13: Varun Jindal: RLOC question
    82493: 05/04/13: Brian Drummond: Re: RLOC question
    82731: 05/04/17: Varun Jindal: Re: RLOC question
82470: 05/04/13: Bertrand Rousseau: opb_ethernet timing constraints
    82490: 05/04/13: Paul Hartke: Re: opb_ethernet timing constraints
82472: 05/04/13: Ankit Raizada: Simulation and actual FPGA implementation, how different it is?
    82480: 05/04/13: Marc Randolph: Re: Simulation and actual FPGA implementation, how different it is?
    82488: 05/04/13: johnp: Re: Simulation and actual FPGA implementation, how different it is?
    82561: 05/04/14: Simon Peacock: Re: Simulation and actual FPGA implementation, how different it is?
82474: 05/04/13: <praveen.kantharajapura@gmail.com>: Regarding driving of SCL and SDA pins of I2C
    82475: 05/04/13: Antti Lukats: Re: Regarding driving of SCL and SDA pins of I2C
        82559: 05/04/14: Antti Lukats: Re: Regarding driving of SCL and SDA pins of I2C
    82476: 05/04/13: Fred: Re: Regarding driving of SCL and SDA pins of I2C
    82489: 05/04/13: Mark Jones: Re: Regarding driving of SCL and SDA pins of I2C
        82492: 05/04/13: Kryten: Re: Regarding driving of SCL and SDA pins of I2C
        82494: 05/04/13: James Beck: Re: Regarding driving of SCL and SDA pins of I2C
            82544: 05/04/13: Mark Jones: Re: Regarding driving of SCL and SDA pins of I2C
                82553: 05/04/13: Bob Monsen: Re: Regarding driving of SCL and SDA pins of I2C
                82577: 05/04/14: James Beck: Re: Regarding driving of SCL and SDA pins of I2C
    82545: 05/04/13: <praveen.kantharajapura@gmail.com>: Re: Regarding driving of SCL and SDA pins of I2C
    82547: 05/04/13: <praveen.kantharajapura@gmail.com>: Re: Regarding driving of SCL and SDA pins of I2C
    82549: 05/04/13: Keith: Re: Regarding driving of SCL and SDA pins of I2C
    82551: 05/04/13: Keith: Re: Regarding driving of SCL and SDA pins of I2C
    82555: 05/04/13: Bob Monsen: Re: Regarding driving of SCL and SDA pins of I2C
82477: 05/04/13: Stephane: virtex4 reconfiguration time
    82482: 05/04/13: Antti Lukats: Re: virtex4 reconfiguration time
        82495: 05/04/13: Stephane: Re: virtex4 reconfiguration time
            82502: 05/04/13: Antti Lukats: Re: virtex4 reconfiguration time
                82558: 05/04/14: Antti Lukats: Re: virtex4 reconfiguration time
                    82572: 05/04/14: Stephane: Re: virtex4 reconfiguration time
                        82630: 05/04/15: Stephane: Re: virtex4 reconfiguration time
    82504: 05/04/13: <junkmail@fastertechnology.com>: Re: virtex4 reconfiguration time
    82540: 05/04/13: Marc Randolph: Re: virtex4 reconfiguration time
    82617: 05/04/14: Marc Randolph: Re: virtex4 reconfiguration time
82478: 05/04/13: williams: Timing and synthesis problem+xilinx
    83677: 05/05/05: Jeremy Stringer: Re: Timing and synthesis problem+xilinx
82481: 05/04/13: Mohammed A Khader: LUT in fpga
    82483: 05/04/13: Sylvain Munaut: Re: LUT in fpga
        82486: 05/04/13: Laurent Gauch: Re: LUT in fpga
            82497: 05/04/13: Symon: Re: LUT in fpga
    82487: 05/04/13: Peter Alfke: Re: LUT in fpga
    82676: 05/04/16: Philip Freidin: Re: LUT in fpga
        82763: 05/04/18: Paul Boven: Re: LUT in fpga
            82799: 05/04/18: Paul Boven: Re: LUT in fpga
            82822: 05/04/18: Jim George: Re: LUT in fpga
    82778: 05/04/17: JJ: Re: LUT in fpga
82496: 05/04/13: Andy Peters: Reading old F2.1i schematics
    82499: 05/04/13: Engineering Guy: Re: Reading old F2.1i schematics
        82500: 05/04/13: Engineering Guy: Re: Reading old F2.1i schematics
            82569: 05/04/14: Engineering Guy: Re: Reading old F2.1i schematics
    82512: 05/04/13: Gabor: Re: Reading old F2.1i schematics
        82578: 05/04/15: Alex Gibson: Re: Reading old F2.1i schematics
    82513: 05/04/13: lecroy7200@chek.com: Re: Reading old F2.1i schematics
    82613: 05/04/14: John Larkin: Re: Reading old F2.1i schematics
        82634: 05/04/15: Engineering Guy: Re: Reading old F2.1i schematics
82501: 05/04/13: mital1: help neeeded for byteblaster of altera
    82514: 05/04/13: Eric: Re: help neeeded for byteblaster of altera
82505: 05/04/13: Roger: Xilinx VIIPro power supplies
    82508: 05/04/13: <jason.stubbs@gmail.com>: Re: Xilinx VIIPro power supplies
        82518: 05/04/13: Roger: Re: Xilinx VIIPro power supplies
            82522: 05/04/13: Symon: Re: Xilinx VIIPro power supplies
            82571: 05/04/14: Roger: Re: Xilinx VIIPro power supplies
                82579: 05/04/14: Roger: Re: Xilinx VIIPro power supplies
                    82585: 05/04/14: Symon: Re: Xilinx VIIPro power supplies
                        82592: 05/04/14: Roger: Re: Xilinx VIIPro power supplies
                            82599: 05/04/14: Symon: Re: Xilinx VIIPro power supplies
                        82597: 05/04/14: Ed McGettigan: Re: Xilinx VIIPro power supplies
                            82602: 05/04/14: Symon: Re: Xilinx VIIPro power supplies
                            82604: 05/04/14: Eric Smith: Re: Xilinx VIIPro power supplies
                                82609: 05/04/14: Symon: Re: Xilinx VIIPro power supplies
    82525: 05/04/13: jason.stubbs: Re: Xilinx VIIPro power supplies
    82574: 05/04/14: jason.stubbs: Re: Xilinx VIIPro power supplies
82507: 05/04/13: <v_mirgorodsky@yahoo.com>: Embedded MicroBlaze solution
    82519: 05/04/13: Shalin Sheth: Re: Embedded MicroBlaze solution
        82562: 05/04/14: David: Re: Embedded MicroBlaze solution
            82568: 05/04/14: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: Embedded MicroBlaze solution
            82590: 05/04/14: Jon Beniston: Re: Embedded MicroBlaze solution
    82528: 05/04/13: Benjamin J. Stassart: Re: Embedded MicroBlaze solution
82510: 05/04/13: Anthony Mahar: PPC405 Performance Monitoring
    82532: 05/04/13: Nju Njoroge: Re: PPC405 Performance Monitoring
        82535: 05/04/13: Anthony Mahar: Re: PPC405 Performance Monitoring
        82537: 05/04/13: Anthony Mahar: Re: PPC405 Performance Monitoring
            82573: 05/04/14: Anthony Mahar: Re: PPC405 Performance Monitoring
    82534: 05/04/13: Paul Hartke: Re: PPC405 Performance Monitoring
    82552: 05/04/13: Nju Njoroge: Re: PPC405 Performance Monitoring
    82554: 05/04/13: Nju Njoroge: Re: PPC405 Performance Monitoring
    82557: 05/04/13: Nju Njoroge: Re: PPC405 Performance Monitoring
    82619: 05/04/14: Nju Njoroge: Re: PPC405 Performance Monitoring
82515: 05/04/13: Pablo Bleyer Kocik: "The ISE 7.1 Experience"
    82520: 05/04/13: Austin Lesea: Re: "The ISE 7.1 Experience"
        82526: 05/04/13: Pablo Bleyer Kocik: Re: "The ISE 7.1 Experience"
            82529: 05/04/13: Ralf Duschef: Re: "The ISE 7.1 Experience"
        82536: 05/04/14: Jim Granville: Re: "The ISE 7.1 Experience"
    82556: 05/04/13: Jochen: Re: "The ISE 7.1 Experience"
82517: 05/04/13: Reinier: Flowcharts and diagrams
    82521: 05/04/13: Pablo Bleyer Kocik: Re: Flowcharts and diagrams
    82570: 05/04/14: Engineering Guy: Re: Flowcharts and diagrams
        82608: 05/04/14: Reinier: Re: Flowcharts and diagrams
    82580: 05/04/14: Mike Treseler: Re: Flowcharts and diagrams
        82629: 05/04/15: David: Re: Flowcharts and diagrams
        82635: 05/04/15: Engineering Guy: Re: Flowcharts and diagrams
    82594: 05/04/14: Praveen: Re: Flowcharts and diagrams
    82827: 05/04/18: Colin Seymour: Re: Flowcharts and diagrams
82523: 05/04/13: tom: Free VHDL Analysis Tool (vhdlarch 0.1.0)
    82912: 05/04/19: tom: Re: VHDL Analysis Tool (vhdlarch 0.1.0)
        83158: 05/04/25: tpi: Re: VHDL Analysis Tool (vhdlarch 0.1.0)
    83208: 05/04/25: Neo: Re: VHDL Analysis Tool (vhdlarch 0.1.0)
82543: 05/04/13: Jerry: Altera DSP dev board stratix II
    82614: 05/04/14: Jerry: Re: Altera DSP dev board stratix II
82563: 05/04/14: StuartG: Verilog problems with SelectRAM clocking within a finite state machine
    82586: 05/04/14: John_H: Re: Verilog problems with SelectRAM clocking within a finite state machine
82564: 05/04/14: teen: tools used for ASIC synthesis
    82589: 05/04/14: Jon Beniston: Re: tools used for ASIC synthesis
    82624: 05/04/14: teen: Re: tools used for ASIC synthesis
82565: 05/04/14: stockton: Fitting functionality in an XC2VP30 FPGA.
    82566: 05/04/14: Antti Lukats: Re: Fitting functionality in an XC2VP30 FPGA.
        82626: 05/04/14: Erik Walthinsen: Re: Fitting functionality in an XC2VP30 FPGA.
            82628: 05/04/15: Antti Lukats: Re: Fitting functionality in an XC2VP30 FPGA.
            82631: 05/04/15: Antti Lukats: Re: Fitting functionality in an XC2VP30 FPGA.
    82576: 05/04/14: Jochen: Re: Fitting functionality in an XC2VP30 FPGA.
    82584: 05/04/14: simon.stockton@baesystems.com: Re: Fitting functionality in an XC2VP30 FPGA.
    82591: 05/04/14: simon.stockton@baesystems.com: Re: Fitting functionality in an XC2VP30 FPGA.
    82606: 05/04/14: Ray Andraka: Re: Fitting functionality in an XC2VP30 FPGA.
    82618: 05/04/14: Marc Randolph: Re: Fitting functionality in an XC2VP30 FPGA.
    82627: 05/04/14: simon.stockton@baesystems.com: Re: Fitting functionality in an XC2VP30 FPGA.
82575: 05/04/14: jason.stubbs: Connecting Virtex2pro to Virtex4 via RocketIO MGT's
    82582: 05/04/14: Ed McGettigan: Re: Connecting Virtex2pro to Virtex4 via RocketIO MGT's
        82593: 05/04/14: Ed McGettigan: Re: Connecting Virtex2pro to Virtex4 via RocketIO MGT's
            82600: 05/04/14: Ed McGettigan: Re: Connecting Virtex2pro to Virtex4 via RocketIO MGT's
    82587: 05/04/14: jason.stubbs: Re: Connecting Virtex2pro to Virtex4 via RocketIO MGT's
    82598: 05/04/14: jason.stubbs: Re: Connecting Virtex2pro to Virtex4 via RocketIO MGT's
82581: 05/04/14: Amora: Xilinx TMRTool price
    82623: 05/04/15: John Williams: Re: Xilinx TMRTool price
82583: 05/04/14: Opal Kelly Incorporated: ANN: USB/FPGA board with programmer's interface
82588: 05/04/14: leevv: Internal clk gen on IO PAD in Xilinx FPGA
82595: 05/04/14: Daniel Florin: free-ip
    82596: 05/04/14: Antti Lukats: Re: free-ip
    82607: 05/04/14: Antti Lukats: Re: free-ip
        82686: 05/04/16: Daniel Florin: Re: free-ip
82611: 05/04/15: Marco: Help OPB <> Wishbone wrapper
82620: 05/04/14: downunder: clock input over an I/O pin
    82622: 05/04/14: Marc Randolph: Re: clock input over an I/O pin
    82655: 05/04/15: downunder: Re: clock input over an I/O pin
    82656: 05/04/15: Berty: Re: clock input over an I/O pin
    82673: 05/04/15: Marc Randolph: Re: clock input over an I/O pin
82621: 05/04/14: strayblue: Re: re:implement the JTAG MASTER --ACT8990 by using FPGA
    82633: 05/04/15: Antti Lukats: Re: re:implement the JTAG MASTER --ACT8990 by using FPGA
82625: 05/04/14: teen: Soft CPU vs Hard CPU's
    82632: 05/04/15: Antti Lukats: Re: Soft CPU vs Hard CPU's
        82668: 05/04/15: Simon: Re: Soft CPU vs Hard CPU's
        82982: 05/04/20: austin: Re: Soft CPU vs Hard CPU's
            82989: 05/04/21: Simon Peacock: Re: Soft CPU vs Hard CPU's
            82990: 05/04/21: Kolja Sulimma: Re: Soft CPU vs Hard CPU's
                83006: 05/04/21: Austin Lesea: Re: Soft CPU vs Hard CPU's
    82643: 05/04/15: General Schvantzkoph: Re: Soft CPU vs Hard CPU's
    82648: 05/04/15: Eric: Re: Soft CPU vs Hard CPU's
        82665: 05/04/15: Jon Beniston: Re: Soft CPU vs Hard CPU's
        82674: 05/04/16: Jeff Cunningham: Re: Soft CPU vs Hard CPU's
        82855: 05/04/18: Benjamin J. Stassart: Re: Soft CPU vs Hard CPU's
            82872: 05/04/19: Jon Beniston: Re: Soft CPU vs Hard CPU's
            82880: 05/04/19: Jim Granville: Re: Soft CPU vs Hard CPU's
    82649: 05/04/15: Eric: Re: Soft CPU vs Hard CPU's
    82941: 05/04/19: teen: Re: Soft CPU vs Hard CPU's
82636: 05/04/15: Dipl.-Ing. Hanns-Walter Schulz: ISE Testbench/Schematic Generation ignores package
    82783: 05/04/18: Dipl.-Ing. Hanns-Walter Schulz: Re: ISE Testbench/Schematic Generation ignores package
82638: 05/04/15: vlsi_learner: different I/O buffers available inXilinx FPGA
    82639: 05/04/15: Antti Lukats: Re: different I/O buffers available inXilinx FPGA
        82658: 05/04/15: Ben Twijnstra: Re: different I/O buffers available inXilinx FPGA
            82660: 05/04/15: Antti Lukats: Re: different I/O buffers available inXilinx FPGA
    82640: 05/04/15: Jochen: Re: different I/O buffers available inXilinx FPGA
        82641: 05/04/15: Engineering Guy: Re: different I/O buffers available inXilinx FPGA
            82644: 05/04/15: Sean Durkin: Re: different I/O buffers available inXilinx FPGA
    82647: 05/04/15: vlsi_learner: Re: different I/O buffers available inXilinx FPGA
    82659: 05/04/15: Benjamin J. Stassart: Re: different I/O buffers available inXilinx FPGA
    83562: 05/05/03: vlsi_learner: Re: different I/O buffers available inXilinx FPGA
82642: 05/04/15: ALuPin: Functional vs, Timing
    82646: 05/04/15: dutchgoldtony: Re: Functional vs, Timing
    82662: 05/04/15: Tim Hubberstey: Re: Functional vs, Timing
82645: 05/04/15: CODE_IS_BUG: sharing a common resource... potential problems...
    82693: 05/04/16: Philip Freidin: Re: sharing a common resource... potential problems...
    83052: 05/04/22: CODE_IS_BAD: Re: sharing a common resource... potential problems...
82650: 05/04/15: <jakab.tanko@gmail.com>: DCI question
    82652: 05/04/15: Austin Lesea: Re: DCI question
82653: 05/04/15: khansa: increase in delay when a port was removed from design (Xilinx Project Navigator 5.2i)
    82798: 05/04/18: Gabor: Re: increase in delay when a port was removed from design (Xilinx Project Navigator 5.2i)
82654: 05/04/15: Dave: salary ballpark please guys
    82675: 05/04/16: Bob: Re: salary ballpark please guys
        82681: 05/04/16: Mac: Re: salary ballpark please guys
            82688: 05/04/16: Simon: Re: salary ballpark please guys
                82698: 05/04/16: Dave: Re: salary ballpark please guys
                    82705: 05/04/16: Simon: Re: salary ballpark please guys
            82697: 05/04/16: Dave: Re: salary ballpark please guys
        82685: 05/04/16: Phil Tomson: Re: salary ballpark please guys
            82776: 05/04/18: Acceed See: Re: salary ballpark please guys
        82690: 05/04/16: Thomas Entner: Re: salary ballpark please guys
            82694: 05/04/16: Rainer Buchty: Re: salary ballpark please guys
                82699: 05/04/16: Dave: Re: salary ballpark please guys
                82873: 05/04/19: Rainer Buchty: Re: salary ballpark please guys
        82696: 05/04/16: Dave: Re: salary ballpark please guys
            82704: 05/04/16: Mac: Re: salary ballpark please guys
                82707: 05/04/16: Phil Tomson: Re: salary ballpark please guys
                    82746: 05/04/17: Mac: Re: salary ballpark please guys
        82869: 05/04/19: Petter Gustad: Re: salary ballpark please guys
        82877: 05/04/19: Petter Gustad: Re: salary ballpark please guys
    82679: 05/04/16: Simon Peacock: Re: salary ballpark please guys
        82701: 05/04/16: Dave: Re: salary ballpark please guys
    82723: 05/04/16: jtw: Re: salary ballpark please guys
        82748: 05/04/17: Mac: Re: salary ballpark please guys
            82760: 05/04/17: Eric Smith: Re: salary ballpark please guys
                82769: 05/04/18: JoeG: Re: salary ballpark please guys
    82727: 05/04/16: austin: Re: salary ballpark please guys
        82728: 05/04/16: Simon: Re: salary ballpark please guys
            82735: 05/04/17: austin: Re: salary ballpark please guys
        82781: 05/04/18: Dave: Re: salary ballpark please guys
82661: 05/04/15: Phil Tomson: Hobby or job? (FPGA User's groups anyone?)
    82663: 05/04/15: JJ: Re: Hobby or job? (FPGA User's groups anyone?)
        82670: 05/04/15: Simon: Re: Hobby or job? (FPGA User's groups anyone?)
            82677: 05/04/16: Simon Peacock: Re: Hobby or job? (FPGA User's groups anyone?)
                82709: 05/04/16: Symon: Re: Hobby or job? (FPGA User's groups anyone?)
            82680: 05/04/16: Mac: Re: Hobby or job? (FPGA User's groups anyone?)
                82689: 05/04/16: Simon: Re: Hobby or job? (FPGA User's groups anyone?)
        82671: 05/04/16: Phil Tomson: Re: Hobby or job? (FPGA User's groups anyone?)
        82703: 05/04/16: starfire: Re: Hobby or job? (FPGA User's groups anyone?)
    82664: 05/04/15: John_H: Re: Hobby or job? (FPGA User's groups anyone?)
        82672: 05/04/16: Phil Tomson: Re: Hobby or job? (FPGA User's groups anyone?)
            82682: 05/04/15: Quiet Desperation: Re: Hobby or job? (FPGA User's groups anyone?)
    82777: 05/04/18: Acceed See: Re: Hobby or job? (FPGA User's groups anyone?)
82666: 05/04/15: <kittyawake@gmail.com>: EDK:input to microblaze
    82702: 05/04/16: Dan Henry: Re: EDK:input to microblaze
        82864: 05/04/19: Frank van Eijkelenburg: Re: EDK:input to microblaze
        82884: 05/04/19: Dan Henry: Re: EDK:input to microblaze
        82885: 05/04/19: Dan Henry: Re: EDK:input to microblaze
    82771: 05/04/17: Herb T: Re: EDK:input to microblaze
    82808: 05/04/18: <kittyawake@gmail.com>: Re: EDK:input to microblaze
    82890: 05/04/19: Herb T: Re: EDK:input to microblaze
82667: 05/04/16: Guenter Dannoritzer: ISE 7.1 GUI (slightly OT)
    82669: 05/04/15: Eric Smith: Re: ISE 7.1 GUI (slightly OT)
    82712: 05/04/16: Phil Tomson: Re: ISE 7.1 GUI (slightly OT)
        82714: 05/04/16: pedro uno: Re: ISE 7.1 GUI (slightly OT)
82678: 05/04/16: JoeG: Technical Journals on FPGAs
82683: 05/04/15: badduck: hdl designer cvs in remote repository
82684: 05/04/16: David: Xilinx tools on Linux
    82692: 05/04/16: Rainer Buchty: Re: Xilinx tools on Linux
    82695: 05/04/16: General Schvantzkoph: Re: Xilinx tools on Linux
    82708: 05/04/16: Phil Tomson: Re: Xilinx tools on Linux
        82710: 05/04/16: Phil Tomson: Xilinx tools from the commandline
            82713: 05/04/16: General Schvantzkoph: Re: Xilinx tools from the commandline
            82715: 05/04/16: Jim Wu: Re: Xilinx tools from the commandline
            82716: 05/04/16: Uwe Bonnes: Re: Xilinx tools from the commandline
                82724: 05/04/17: Phil Tomson: Re: Xilinx tools from the commandline
                    82754: 05/04/17: B. Joshua Rosen: Re: Xilinx tools from the commandline
                    82761: 05/04/17: Phil Tomson: Re: Xilinx tools from the commandline
                        82765: 05/04/18: Jim Granville: Re: Xilinx tools from the commandline
                            82766: 05/04/18: Phil Tomson: Re: Xilinx tools from the commandline
                                83570: 05/05/03: Rudolf Usselmann: Re: Xilinx tools from the commandline
                                    83592: 05/05/03: Eric Smith: Re: Xilinx tools from the commandline
                    82775: 05/04/18: Rudolf Usselmann: Re: Xilinx tools from the commandline
                        82852: 05/04/18: Duane Clark: Re: Xilinx tools from the commandline
                            82901: 05/04/19: Rudolf Usselmann: Re: Xilinx tools from the commandline
                                82916: 05/04/20: John Williams: Re: Xilinx tools from the commandline
            82718: 05/04/16: Uwe Bonnes: Re: Xilinx tools from the commandline
            82739: 05/04/17: Duane Clark: Re: Xilinx tools from the commandline
                82757: 05/04/17: Lawrence Wilkinson: Re: Xilinx tools from the commandline
                84271: 05/05/16: Jason Tang: Re: Xilinx tools from the commandline
    82719: 05/04/16: Erik Walthinsen: Re: Xilinx tools on Linux
        82725: 05/04/17: Phil Tomson: Re: Xilinx tools on Linux
    82721: 05/04/17: Lawrence Wilkinson: Re: Xilinx tools on Linux
        82740: 05/04/17: Duane Clark: Re: Xilinx tools on Linux
            82756: 05/04/17: Lawrence Wilkinson: Re: Xilinx tools on Linux
    82730: 05/04/16: Jecel: Re: Xilinx tools on Linux
    82742: 05/04/17: Marc Randolph: Re: Xilinx tools from the commandline
    82753: 05/04/17: Martin Ellis: Re: Xilinx tools on Linux
        82762: 05/04/17: Phil Tomson: Re: Xilinx tools on Linux
            82787: 05/04/18: Uwe Bonnes: Re: Xilinx tools on Linux
                82815: 05/04/18: Phil Tomson: Re: Xilinx tools unusable on Linux
                    82821: 05/04/18: Uwe Bonnes: Re: Xilinx tools unusable on Linux
                        82828: 05/04/18: Phil Tomson: Re: Xilinx tools unusable on Linux
                82825: 05/04/18: Eric Smith: Re: Xilinx tools on Linux
                    82829: 05/04/18: Phil Tomson: Re: Xilinx tools on Linux
                        82834: 05/04/18: Uwe Bonnes: Re: Xilinx tools on Linux
                            82840: 05/04/18: Eric Smith: Re: Xilinx tools on Linux
                                82892: 05/04/19: Martin Ellis: Re: Xilinx tools on Linux
                                    82920: 05/04/19: Eric Smith: Re: Xilinx tools on Linux
                    82833: 05/04/18: Uwe Bonnes: Re: Xilinx tools on Linux
                        82841: 05/04/18: Eric Smith: Re: Xilinx tools on Linux
                        82849: 05/04/18: Duane Clark: Re: Xilinx tools on Linux
                        82859: 05/04/19: Phil Tomson: Re: Xilinx tools on Linux
                            82870: 05/04/19: Uwe Bonnes: Re: Xilinx tools on Linux
    82801: 05/04/18: Marc Randolph: Re: Xilinx tools from the commandline
    83567: 05/05/03: Marc Randolph: Re: Xilinx tools from the commandline
    83924: 05/05/09: leonardopsantos: Impact Kernel 2.6
        83986: 05/05/11: Phil Tomson: Re: Impact Kernel 2.6
        84272: 05/05/16: Jason Tang: Re: Impact Kernel 2.6
    84419: 05/05/18: leonardopsantos: re:Xilinx tools on Linux
82687: 05/04/16: Marc Le Roy: Differential timing specification in Xilinx FPGA
    82972: 05/04/20: Marc: Re: Differential timing specification in Xilinx FPGA
82691: 05/04/16: Clemens Hagen: FPGA Design Introduction
82700: 05/04/16: Piotr Wyderski: Power supply design
    82706: 05/04/16: Thomas Fischer: Re: Power supply design
82711: 05/04/16: jean-francois hasson: rocketio decoupling
    82811: 05/04/18: Symon: Re: rocketio decoupling
    82814: 05/04/18: Ed McGettigan: Re: rocketio decoupling
        82830: 05/04/18: Roger: Re: rocketio decoupling
            82832: 05/04/18: Ed McGettigan: Re: rocketio decoupling
82717: 05/04/16: <kittyawake@gmail.com>: EDK: microblaze local memory
    82768: 05/04/17: Paul Hartke: Re: EDK: microblaze local memory
82720: 05/04/16: <jsavard@ecn.ab.ca>: The DLP from Texas Instruments...
    82722: 05/04/16: JJ: Re: The DLP from Texas Instruments...
    82726: 05/04/16: austin: Re: The DLP from Texas Instruments...
        83071: 05/04/23: Alex Gibson: Re: The DLP from Texas Instruments...
    82729: 05/04/16: JJ: Re: The DLP from Texas Instruments...
82732: 05/04/17: George Mercury: Spartan 3E slower that Spartan 3?
    82736: 05/04/17: austin: Re: Spartan 3E slower that Spartan 3?
        82737: 05/04/17: mk: Re: Spartan 3E slower that Spartan 3?
            82743: 05/04/17: austin: Re: Spartan 3E slower that Spartan 3?
                82747: 05/04/17: starfire: Re: Spartan 3E slower that Spartan 3?
                82750: 05/04/17: dave: Re: Spartan 3E slower that Spartan 3?
                82752: 05/04/17: B. Joshua Rosen: Re: Spartan 3E slower that Spartan 3?
                82782: 05/04/18: Kolja Sulimma: Re: Spartan 3E slower that Spartan 3?
                82785: 05/04/18: Simon Peacock: Re: Spartan 3E slower that Spartan 3?
                82806: 05/04/18: Jon Beniston: Re: Spartan 3E slower that Spartan 3?
    82738: 05/04/17: Marc Randolph: Re: Spartan 3E slower that Spartan 3?
    82745: 05/04/17: Ben Twijnstra: Re: Spartan 3E slower that Spartan 3?
        82770: 05/04/17: austin: Re: Spartan 3E slower that Spartan 3?
            83038: 05/04/21: Ray Andraka: Re: Spartan 3E slower that Spartan 3?
            83112: 05/04/24: Simon Peacock: Re: Spartan 3E slower that Spartan 3?
    82749: 05/04/17: Peter Alfke: Re: Spartan 3E slower that Spartan 3?
    82751: 05/04/17: JJ: Re: Spartan 3E slower that Spartan 3?
    82759: 05/04/17: Peter Alfke: Re: Spartan 3E slower that Spartan 3?
    82804: 05/04/18: Peter Alfke: Re: Spartan 3E slower that Spartan 3?
    82844: 05/04/18: Steven K. Knapp: Re: Spartan 3E slower that Spartan 3?
    82856: 05/04/18: austin: Re: Spartan 3E slower that Spartan 3?
        82865: 05/04/19: Sylvain Munaut: Re: Spartan 3E slower that Spartan 3?
            82888: 05/04/19: austin: GPD+APD=FPG? TLA's run amok.....
    83093: 05/04/23: Paul Leventis: Re: Spartan 3E slower that Spartan 3?
82733: 05/04/17: zalzon: FPGA/Embedded courses online or near Toronto
    82734: 05/04/17: Jason Berringer: Re: FPGA/Embedded courses online or near Toronto
        82744: 05/04/17: zilinxchip: Re: FPGA/Embedded courses online or near Toronto
            82755: 05/04/17: Jason Berringer: Re: FPGA/Embedded courses online or near Toronto
82758: 05/04/17: Andrew Holme: MAX7000S CPLD tri-state OE delay
82764: 05/04/17: <fpga00@gmail.com>: Microblaze Functions (Xilinx Specific)
    82767: 05/04/17: Paul Hartke: Re: Microblaze Functions (Xilinx Specific)
        82788: 05/04/18: Jon Beniston: Re: Microblaze Functions (Xilinx Specific)
82772: 05/04/17: <aosik5@gmail.com>: SPROM JTAG confusion!
    82789: 05/04/18: <Puneetsingh81@gmail.com>: Re: SPROM JTAG confusion!
82773: 05/04/17: Len: Multi-page schematics (.bdf) in Quartus II?
    82774: 05/04/18: Subroto Datta: Re: Multi-page schematics (.bdf) in Quartus II?
    82779: 05/04/18: Thomas Entner: Re: Multi-page schematics (.bdf) in Quartus II?
    82780: 05/04/18: Dan NITA: Re: Multi-page schematics (.bdf) in Quartus II?
    82786: 05/04/18: Andrew Holme: Re: Multi-page schematics (.bdf) in Quartus II?
    82797: 05/04/18: Len: Re: Multi-page schematics (.bdf) in Quartus II?
82784: 05/04/18: Frank van Eijkelenburg: debugging source code for PowerPC
82791: 05/04/18: ALuPin: Odd Oversampling
    82796: 05/04/18: Jonathan Bromley: Re: Odd Oversampling
        82812: 05/04/18: Symon: Re: Odd Oversampling
            82868: 05/04/19: Jonathan Bromley: Re: Odd Oversampling
            82874: 05/04/19: ALuPin: Re: Odd Oversampling
    82802: 05/04/18: Mike Treseler: Re: Odd Oversampling
        82889: 05/04/19: ALuPin: Re: Odd Oversampling
            82909: 05/04/19: Mike Treseler: Re: Odd Oversampling
                82910: 05/04/19: Symon: Re: Odd Oversampling
    82897: 05/04/19: Symon: Re: Odd Oversampling
        82907: 05/04/19: Symon: Re: Odd Oversampling
            82943: 05/04/20: ALuPin: Re: Odd Oversampling
    82898: 05/04/19: Peter Alfke: Re: Odd Oversampling
82792: 05/04/18: alan_s: Altera logic programmer card
    82861: 05/04/18: DerekSimmons@FrontierNet.net: Re: Altera logic programmer card
82793: 05/04/18: williams: combining two EDF netlist in ISE
    82794: 05/04/18: Engineering Guy: Re: combining two EDF netlist in ISE
    82800: 05/04/18: Petter Gustad: Re: combining two EDF netlist in ISE
    82863: 05/04/18: Ken McElvain: Re: combining two EDF netlist in ISE
82803: 05/04/18: <jakab.tanko@gmail.com>: Missing post
    82805: 05/04/18: Sean Durkin: Re: Missing post
    82809: 05/04/18: JJ: Re: Missing post
82807: 05/04/18: <gupta.gaurav@gmail.com>: Tutorial on FPGAs
    82839: 05/04/18: dave: Re: Tutorial on FPGAs
82813: 05/04/18: soos: DSP-PC architectural advice needed.
    82883: 05/04/19: Ron Huizen: Re: DSP-PC architectural advice needed.
        83012: 05/04/21: Dr Justice: Re: DSP-PC architectural advice needed.
        83013: 05/04/21: Joel Kolstad: Re: DSP-PC architectural advice needed.
    82992: 05/04/21: soos: Re: DSP-PC architectural advice needed.
82816: 05/04/18: <shuss3@yahoo.com>: Declining a job offer
    82818: 05/04/18: Phil Tomson: Re: Declining a job offer
    82826: 05/04/18: Bob: Re: Declining a job offer
    82831: 05/04/18: John Larkin: Re: Declining a job offer
        82860: 05/04/19: Phil Tomson: Re: Declining a job offer
            82867: 05/04/19: Simon Peacock: Re: Declining a job offer
            82930: 05/04/19: John Larkin: Re: Declining a job offer
                82936: 05/04/20: Bob: Re: Declining a job offer
                    82939: 05/04/19: John Larkin: Re: Declining a job offer
                        82942: 05/04/20: Bob: Re: Declining a job offer
    82858: 05/04/18: Eric: Re: Declining a job offer
    82862: 05/04/18: JJ: Re: Declining a job offer
    82887: 05/04/19: Kryten: Re: Declining a job offer
    82903: 05/04/19: JJ: Re: Declining a job offer
82817: 05/04/18: Marco: OPB to Wishbone Wrapper
82819: 05/04/18: Symon: [Info]Platform USB.
    82899: 05/04/19: Rudolf Usselmann: Re: [Info]Platform USB.
        82905: 05/04/19: Symon: Re: [Info]Platform USB.
82820: 05/04/18: AL: Problem installing ISE 7.1
82835: 05/04/18: Andy Peters: source control and Xilinx ISE 6 and 7
    82842: 05/04/18: Eric Smith: Re: source control and Xilinx ISE 6 and 7
        82848: 05/04/18: Eric Smith: Re: source control and Xilinx ISE 6 and 7
            82851: 05/04/18: Eric Smith: Re: source control and Xilinx ISE 6 and 7
            82921: 05/04/19: Eric Smith: Re: source control and Xilinx ISE 6 and 7
                82929: 05/04/20: nospam: Re: source control and Xilinx ISE 6 and 7
                    82953: 05/04/20: nospam: Re: source control and Xilinx ISE 6 and 7
                    82960: 05/04/20: Duane Clark: Re: source control and Xilinx ISE 6 and 7
                83041: 05/04/21: Ray Andraka: Re: source control and Xilinx ISE 6 and 7
        82945: 05/04/20: Petter Gustad: Re: source control and Xilinx ISE 6 and 7
        82955: 05/04/20: Petter Gustad: Re: source control and Xilinx ISE 6 and 7
    82843: 05/04/18: Uwe Bonnes: Re: source control and Xilinx ISE 6 and 7
    82847: 05/04/18: Andy Peters: Re: source control and Xilinx ISE 6 and 7
    82853: 05/04/18: Duane Clark: Re: source control and Xilinx ISE 6 and 7
        82854: 05/04/18: Duane Clark: Re: source control and Xilinx ISE 6 and 7
    82857: 05/04/19: John Retta: Re: source control and Xilinx ISE 6 and 7
        82886: 05/04/19: Phil Hays: Re: source control and Xilinx ISE 6 and 7
    82866: 05/04/19: <Guy.Eschemann@gmail.com>: Re: source control and Xilinx ISE 6 and 7
        82895: 05/04/19: Petter Gustad: Re: source control and Xilinx ISE 6 and 7
    82893: 05/04/19: <Petrov_101@hotmail.com>: Re: source control and Xilinx ISE 6 and 7
    82908: 05/04/19: Andy Peters: Re: source control and Xilinx ISE 6 and 7
82836: 05/04/18: AL: Can't find folder
82837: 05/04/18: Brad: Spartan 3E availability
    82838: 05/04/18: John_H: Re: Spartan 3E availability
    82906: 05/04/19: Steven K. Knapp: Re: Spartan 3E availability
82871: 05/04/19: Acceed See: How do I convert binary data from Agilent logic analyzer 16702 into plain text?
    83078: 05/04/22: Avrum: Re: How do I convert binary data from Agilent logic analyzer 16702 into plain text?
        83205: 05/04/26: Acceed See: Re: How do I convert binary data from Agilent logic analyzer 16702 into plain text?
    83268: 05/04/26: Eric Smith: Re: How do I convert binary data from Agilent logic analyzer 16702 into plain text?
82875: 05/04/19: Andrej: Celoxica RC1000: problems accessing fpga control registers
82876: 05/04/19: williams: Strange FPGA problem
    82881: 05/04/19: Egbert Molenkamp: Re: Strange FPGA problem
    82896: 05/04/19: Navneet Rao: Re: Strange FPGA problem
    82914: 05/04/19: Swapnajit Mittra: Re: Strange FPGA problem
    82940: 05/04/20: backhus: Re: Strange FPGA problem
82878: 05/04/19: Acceed See: What is the cause of a "can not see clock" problem in logic analyser?
    82879: 05/04/19: Acceed See: Re: What is the cause of a "can not see clock" problem in logic analyser?
        83075: 05/04/22: Jerry Avins: Re: What is the cause of a "can not see clock" problem in logic analyser?
    83074: 05/04/22: Jerry Avins: Re: What is the cause of a "can not see clock" problem in logic analyser?
        83125: 05/04/24: Frank Chee (Remove the dots): Re: What is the cause of a "can not see clock" problem in logic analyser?
    83079: 05/04/22: Avrum: Re: What is the cause of a "can not see clock" problem in logic analyser?
82900: 05/04/19: parity: UCF File - How to define this Constraint?
82902: 05/04/19: Rudolf Usselmann: Linux, ISE 7.1, problems, problems, problems ....
    82922: 05/04/19: Uwe Bonnes: Re: Linux, ISE 7.1, problems, problems, problems ....
        82928: 05/04/19: Symon: Re: Linux, ISE 7.1, problems, problems, problems ....
    82931: 05/04/20: John Williams: Re: Linux, ISE 7.1, problems, problems, problems ....
82911: 05/04/19: Jason Zheng: actel blockram the easy way?
    82944: 05/04/20: <neilla@ewst.co.uk>: Re: actel blockram the easy way?
        82983: 05/04/20: Ken McElvain: Re: actel blockram the easy way?
    83152: 05/04/25: Hans: Re: actel blockram the easy way?
82915: 05/04/19: Kevin Neilson: Perl Preprocessor for HDL
    82917: 05/04/19: Symon: Re: Perl Preprocessor for HDL
        82924: 05/04/19: Kevin Neilson: Re: Perl Preprocessor for HDL
            82935: 05/04/20: Bob Perlman: Re: Perl Preprocessor for HDL
    82918: 05/04/19: Andy Peters: Re: Perl Preprocessor for HDL
        82923: 05/04/19: Kevin Neilson: Re: Perl Preprocessor for HDL
    82926: 05/04/19: Phil Tomson: Re: Perl Preprocessor for HDL
    82970: 05/04/20: c d saunter: Re: Perl Preprocessor for HDL
82919: 05/04/19: archilleswaterland@hotmail.com: OV6620 PCLK CLK
    82952: 05/04/20: Gabor: Re: OV6620 PCLK CLK
82927: 05/04/19: recover back up: Recover back up
82932: 05/04/19: Tim Wescott: Re: College Project
    82937: 05/04/20: Jim Granville: Re: College Project
82933: 05/04/19: JJ: Re: College Project
82934: 05/04/20: Acceed See: Some signals became ? and missing on the simvision, why?
    83066: 05/04/22: Carl W.: Re: Some signals became ? and missing on the simvision, why?
82938: 05/04/19: Sirish: avnet dev. kit flash reprogram
82946: 05/04/20: Dave: Cost of Altera DSP Builder
    82975: 05/04/20: <bjentz@altera.com>: Re: Cost of Altera DSP Builder
82947: 05/04/20: Mohammed A khader: Ambigous operator '&'
    82949: 05/04/20: Laurent Gauch: Re: Ambigous operator '&'
    82951: 05/04/20: Mohammed A khader: Re: Ambigous operator '&'
    82969: 05/04/20: Sebastian Weiser: Re: Ambigous operator '&'
82948: 05/04/20: <xiibweb@hotmail.com>: And gate in Neural Network
82954: 05/04/20: Mohammed A khader: Unconstrained ports for synthesis
    82956: 05/04/20: Subroto Datta: Re: Unconstrained ports for synthesis
        82964: 05/04/20: Ralf Hildebrandt: Re: Unconstrained ports for synthesis
    82958: 05/04/20: Mohammed A Khader: Re: Unconstrained ports for synthesis
    82981: 05/04/20: Subroto Datta: Re: Unconstrained ports for synthesis
    83034: 05/04/21: Ray Andraka: Re: Unconstrained ports for synthesis
82957: 05/04/20: ALuPin: Bug in DDR template in Lattice FPGAs ?
    82966: 05/04/20: Weng Tianxiang: Re: Bug in DDR template in Lattice FPGAs ?
        82985: 05/04/21: ALuPin: Re: Bug in DDR template in Lattice FPGAs ?
            83015: 05/04/21: John_H: Re: Bug in DDR template in Lattice FPGAs ?
                83046: 05/04/22: ALuPin: Re: Bug in DDR template in Lattice FPGAs ?
                    83150: 05/04/25: ALuPin: Re: Bug in DDR template in Lattice FPGAs ?
                        83355: 05/04/28: ALuPin: Re: Bug in DDR template in Lattice FPGAs ?
    82995: 05/04/21: Luc: Re: Bug in DDR template in Lattice FPGAs ?
    83096: 05/04/23: cas7406@yahoo.com: Re: Bug in DDR template in Lattice FPGAs ?
    83269: 05/04/26: cas7406@yahoo.com: Re: Bug in DDR template in Lattice FPGAs ?
82959: 05/04/20: Praveen: Virtex II Scrubbing, Readback and Reconfiguration time durations
    82962: 05/04/20: Austin Lesea: Re: Virtex II Scrubbing, Readback and Reconfiguration time durations
82963: 05/04/20: Clemens Hermann: AHDL and quartus II simulation
82965: 05/04/20: parity: Power Estimation without Pad Connection (XPower)
    82988: 05/04/21: Aurelian Lazarut: Re: Power Estimation without Pad Connection (XPower)
82973: 05/04/20: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: LVDS pin assignment
    82977: 05/04/20: Austin Lesea: Re: LVDS pin assignment
        82980: 05/04/21: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: LVDS pin assignment
            83001: 05/04/21: Jerzy Gbur: Re: LVDS pin assignment
            83007: 05/04/21: Brijesh: Re: LVDS pin assignment
82974: 05/04/20: DigitalSignal: ATA FPGA IP Core
    83080: 05/04/22: <chris.hallahan@nuvation.com>: Re: ATA FPGA IP Core
82984: 05/04/21: Moti Cohen: CAM for FPGA ...
    82986: 05/04/21: Daryl Bradley: Re: CAM for FPGA ...
        83014: 05/04/21: Mike Treseler: Re: CAM for FPGA ...
            83020: 05/04/21: Thomas Womack: Re: CAM for FPGA ...
                83026: 05/04/21: John_H: Re: CAM for FPGA ...
                    83030: 05/04/21: Mike Treseler: Re: CAM for FPGA ...
                    83031: 05/04/21: Thomas Womack: Re: CAM for FPGA ...
                83114: 05/04/24: Jeremy Stringer: Re: CAM for FPGA ...
    83003: 05/04/21: Moti Cohen: Re: CAM for FPGA ...
    83047: 05/04/22: ALuPin: Re: CAM for FPGA ...
    83116: 05/04/23: Peter Alfke: Re: CAM for FPGA ...
    83118: 05/04/23: JJ: Re: CAM for FPGA ...
    83295: 05/04/27: Ray Andraka: Re: CAM for FPGA ...
82987: 05/04/21: Clemens Hagen: VHDL or Verilog
    82993: 05/04/21: CODE_IS_BAD: Re: VHDL or Verilog
    82994: 05/04/21: David R Brooks: Re: VHDL or Verilog
    83033: 05/04/21: Ray Andraka: Re: VHDL or Verilog
        83042: 05/04/21: Erik Walthinsen: Re: VHDL or Verilog
            83076: 05/04/22: Symon: Re: VHDL or Verilog
82991: 05/04/21: CODE_IS_BAD: Simulation in modelsim.... Multiple Drivers.......
    83008: 05/04/21: Brijesh: Re: Simulation in modelsim.... Multiple Drivers.......
        83044: 05/04/22: Klaus Falser: Re: Simulation in modelsim.... Multiple Drivers.......
    83011: 05/04/21: CODE_IS_BAD: Re: Simulation in modelsim.... Multiple Drivers.......
    83051: 05/04/22: CODE_IS_BAD: Re: Simulation in modelsim.... Multiple Drivers.......
82996: 05/04/21: Jaggu: xilinx ml310 + linux + System.map file problem
82997: 05/04/21: Dave: Do Synplify DSP and Accelchip support multiple clock domains?
    83016: 05/04/21: Andrew_from_Synplicity: Re: Do Synplify DSP and Accelchip support multiple clock domains?
        83050: 05/04/22: Dave: Re: Do Synplify DSP and Accelchip support multiple clock domains?
            83151: 05/04/25: Dave: Re: Do Synplify DSP and Accelchip support multiple clock domains?
    83128: 05/04/24: Andrew_from_Synplicity: Re: Do Synplify DSP and Accelchip support multiple clock domains?
    83913: 05/05/09: Eric_at_AccelChip: Re: Do Synplify DSP and Accelchip support multiple clock domains?
82998: 05/04/21: Jeff Cunningham: Is Cyclone-2 EP2C5 or EP2C8 available? If not, when?
    83069: 05/04/22: <smensor@altera.com>: Re: Is Cyclone-2 EP2C5 or EP2C8 available? If not, when?
82999: 05/04/21: Christian Gelinek: Xilinx ISE Warning: FF/Latch <> is unconnected in block <>
    83045: 05/04/22: Klaus Falser: Re: Xilinx ISE Warning: FF/Latch <> is unconnected in block <>
        83053: 05/04/22: Christian Gelinek: Re: Xilinx ISE Warning: FF/Latch <> is unconnected in block <>
83000: 05/04/21: <nospam@nospam.com>: FIFO as a Logic Analyzer; Clock synthesizer
    83017: 05/04/21: Amontec, Larry: Re: FIFO as a Logic Analyzer; Clock synthesizer
    83036: 05/04/21: Len: Re: FIFO as a Logic Analyzer; Clock synthesizer
    83039: 05/04/21: Eric Smith: Re: FIFO as a Logic Analyzer; Clock synthesizer
83002: 05/04/21: Falk Salewski: low budget SystemC to VHDL Compiler?
    83089: 05/04/23: Alan Peter Fitch: Re: low budget SystemC to VHDL Compiler?
83004: 05/04/21: Falk Salewski: HDL in safety critical applications
    83018: 05/04/21: Eric: Re: HDL in safety critical applications
    83019: 05/04/21: Eric: Re: HDL in safety critical applications
83009: 05/04/21: <xiibweb@hotmail.com>: And gate in Neural Network
83021: 05/04/21: Trond Egil Gran: Xilinx Impact in Linux 2.6.x
    83022: 05/04/21: Petter Gustad: Re: Xilinx Impact in Linux 2.6.x
    83027: 05/04/21: Uwe Bonnes: Re: Xilinx Impact in Linux 2.6.x
        83065: 05/04/22: Trond Egil Gran: Re: Xilinx Impact in Linux 2.6.x
            83068: 05/04/22: Trond Egil Gran: Re: Xilinx Impact in Linux 2.6.x
    83028: 05/04/21: dlharmon: Re: Xilinx Impact in Linux 2.6.x
    83032: 05/04/21: Symon: Re: Xilinx Impact in Linux 2.6.x
        83048: 05/04/22: Erik Walthinsen: Re: Xilinx Impact in Linux 2.6.x
            83054: 05/04/22: dave: Re: Xilinx Impact in Linux 2.6.x
                83101: 05/04/23: Erik Walthinsen: Re: Xilinx Impact in Linux 2.6.x
        83055: 05/04/22: Rudolf Usselmann: Re: Xilinx Impact in Linux 2.6.x
    83084: 05/04/22: Neil Glenn Jacobson: Re: Xilinx Impact in Linux 2.6.x
        83085: 05/04/23: Trond Egil Gran: Re: Xilinx Impact in Linux 2.6.x
83023: 05/04/21: Joseph: PPCs sharing an OCM BRAM
    83024: 05/04/21: Paul Hartke: Re: PPCs sharing an OCM BRAM
    83037: 05/04/21: Joseph: Re: PPCs sharing an OCM BRAM
    83040: 05/04/21: Joseph: Re: PPCs sharing an OCM BRAM
83025: 05/04/21: <aosik5@gmail.com>: JTAG and SPROM for Spartan II-e
    83035: 05/04/21: Gabor: Re: JTAG and SPROM for Spartan II-e
    83057: 05/04/22: Aurelian Lazarut: Re: JTAG and SPROM for Spartan II-e
83029: 05/04/21: MM: Looking for a RocketIO expert in Ottawa, ON
83043: 05/04/21: CODE_IS_BAD: Timing Reports Xilinx.....Max. freq of operation?
    83058: 05/04/22: Gabor: Re: Timing Reports Xilinx.....Max. freq of operation?
    83061: 05/04/22: CODE_IS_BAD: Re: Timing Reports Xilinx.....Max. freq of operation?
83049: 05/04/22: ALuPin: ispTRACY-Lattice vs. SignalTap-Altera
    83077: 05/04/22: Peter Sommerfeld: Re: ispTRACY-Lattice vs. SignalTap-Altera
83056: 05/04/22: Amir Intisar: Writing to Ram
83059: 05/04/22: Johnsons. Joe: Speed acceleration !!!
    83099: 05/04/23: Falk Brunner: Re: Speed acceleration !!!
    83146: 05/04/25: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: Speed acceleration !!!
    83669: 05/05/05: <roger.larsson@norran.net>: Re: Speed acceleration !!!
        83674: 05/05/04: austin: Re: Speed acceleration !!!
83060: 05/04/22: Miguel: Virtex-4 Routing
    83063: 05/04/22: Austin Lesea: Re: Virtex-4 Routing
83062: 05/04/22: Joey: OCM interface to SDRAM
    83064: 05/04/22: <pilot172@gmail.com>: Re: OCM interface to SDRAM
        83067: 05/04/22: Joey: Re: OCM interface to SDRAM
            83127: 05/04/24: Paul Hartke: Re: OCM interface to SDRAM
    83073: 05/04/22: <pilot172@gmail.com>: Re: OCM interface to SDRAM
83070: 05/04/22: JJ: Re: VHDL or Verilog
83072: 05/04/22: Marco: A PC for make synthesis
    83113: 05/04/24: Simon Peacock: Re: A PC for make synthesis
        83216: 05/04/26: Dresdenboy: Re: A PC for make synthesis
            83222: 05/04/26: Simon Peacock: Re: A PC for make synthesis
    83224: 05/04/26: B. Joshua Rosen: Re: A PC for make synthesis
        83232: 05/04/26: Marco: Re: A PC for make synthesis
            83240: 05/04/26: Marco: Re: A PC for make synthesis
                83270: 05/04/26: B. Joshua Rosen: Re: A PC for make synthesis
    83254: 05/04/26: <Alpharomeo2k@gmx.de>: Re: A PC for make synthesis
83081: 05/04/22: jason.stubbs: Virtex 4 Power consumption
    83082: 05/04/22: Amora: Re: Virtex 4 Power consumption
        83178: 05/04/25: Austin Lesea: Re: Virtex 4 Power consumption
            83190: 05/04/25: Austin Lesea: Re: Virtex 4 Power consumption
                83193: 05/04/25: Hal Murray: Re: Virtex 4 Power consumption
                83283: 05/04/26: Hal Murray: Re: Virtex 4 Power consumption
            83227: 05/04/26: Paul Leventis (at home): Re: Virtex 4 Power consumption
                83239: 05/04/26: Austin Lesea: Re: Virtex 4 Power consumption
                    83273: 05/04/26: Austin Lesea: Re: Virtex 4 Power consumption
                        83335: 05/04/27: Ljubisa Bajic: Re: Virtex 4 Power consumption
                            83425: 05/04/29: Ljubisa Bajic: Re: Virtex 4 Power consumption
                83607: 05/05/03: Brendan Cullen: Re: Virtex 4 Power consumption
                    83629: 05/05/04: Austin Lesea: Re: Virtex 4 Power consumption
    83156: 05/04/25: jason.stubbs: Re: Virtex 4 Power consumption
    83184: 05/04/25: jason.stubbs: Re: Virtex 4 Power consumption
    83221: 05/04/26: Brendan Cullen: Re: Virtex 4 Power consumption
    83258: 05/04/26: Yaju N: Re: Virtex 4 Power consumption
    83264: 05/04/26: Peter Alfke: Re: Virtex 4 Power consumption
    83265: 05/04/26: Paul Leventis: Re: Virtex 4 Power consumption
    83625: 05/05/04: <eternal_nan@yahoo.com>: Re: Virtex 4 Power consumption
83083: 05/04/22: Peter Sommerfeld: Xilinx multiplier out of slices
    83086: 05/04/22: Symon: Re: Xilinx multiplier out of slices
        83087: 05/04/22: Ken McElvain: Re: Xilinx multiplier out of slices
    83090: 05/04/23: Brian Drummond: Re: Xilinx multiplier out of slices
    83094: 05/04/23: Jerzy Gbur: Re: Xilinx multiplier out of slices
83088: 05/04/22: Praveen: Time Borrowing
    83117: 05/04/23: el231bat: Re: Time Borrowing
    83135: 05/04/24: Praveen: Re: Time Borrowing
83091: 05/04/23: ZioPino: DDR SODIMM on Avnet Virtex II PRO development kit
    83095: 05/04/23: Paul Hartke: Re: DDR SODIMM on Avnet Virtex II PRO development kit
        83097: 05/04/23: ZioPino: Re: DDR SODIMM on Avnet Virtex II PRO development kit
    83098: 05/04/23: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
        83105: 05/04/23: ZioPino: Re: DDR SODIMM on Avnet Virtex II PRO development kit
            83106: 05/04/23: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                83119: 05/04/24: ZioPino: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                    83140: 05/04/24: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                        83141: 05/04/24: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                            83155: 05/04/25: ZioPino: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                            83237: 05/04/26: TheMightyShaman: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                83245: 05/04/26: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                    83259: 05/04/26: Antony: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                        83266: 05/04/26: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                            83332: 05/04/27: Antony: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                                83609: 05/05/03: TheMightyShaman: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                                    83633: 05/05/04: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                                        83742: 05/05/06: Antony: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                                            83768: 05/05/06: Duane Clark: Re: DDR SODIMM on Avnet Virtex II PRO development kit
                                                                83819: 05/05/07: ZioPino: Re: DDR SODIMM on Avnet Virtex II PRO development kit
        83220: 05/04/26: Hans Holten-Lund: Re: DDR SODIMM on Avnet Virtex II PRO development kit
83092: 05/04/23: <xiibweb@hotmail.com>: multiplier with one fixed value other user defined
83100: 05/04/23: gallen: "Implement Design" Error on ISE 6.3 webpack
    83262: 05/04/26: Gabor: Re: "Implement Design" Error on ISE 6.3 webpack
83102: 05/04/23: AL: playxsvf file501b
    83103: 05/04/23: AL: Re: playxsvf file501b
83104: 05/04/23: Jean Nicolle: is the 8051 architecture public domain?
83107: 05/04/23: nashafi: how to put an FIR in an FPGA?
    83126: 05/04/24: Jim Wu: Re: how to put an FIR in an FPGA?
83109: 05/04/23: Tod Adamson: WTB Xilinx Ver. 6.2 EDK
83110: 05/04/23: Shreyas Kulkarni: motherboard w/o 3.3V PCI fingers
    83121: 05/04/24: Thomas Fischer: Re: motherboard w/o 3.3V PCI fingers
83111: 05/04/23: John: READ/WRITE files using TEXTIO using Quartus
    83132: 05/04/24: info_: Re: READ/WRITE files using TEXTIO using Quartus
83115: 05/04/23: <akiriwas@gmail.com>: Relative number of CLBs
    83120: 05/04/24: Alex Freed: Re: Relative number of CLBs
    83129: 05/04/24: <akiriwas@gmail.com>: Re: Relative number of CLBs
    83148: 05/04/25: Philip Freidin: Re: Relative number of CLBs
        83175: 05/04/25: Philip Freidin: Re: Relative number of CLBs
    83174: 05/04/25: <akiriwas@gmail.com>: Re: Relative number of CLBs
83122: 05/04/24: Rudolf Usselmann: Platform Cable USB & ISE 7.1 & Linux
83123: 05/04/24: Amir Intisar: simple delays
    83124: 05/04/24: Hendra: Re: simple delays
    84598: 05/05/22: <amir.intisar@gmail.com>: Re: simple delays
    84601: 05/05/22: Peter Alfke: Re: simple delays
83130: 05/04/24: Preben Holm: "Correct design" and practical trouble and simulation trouble but
    83149: 05/04/25: Thomas Stanka: Re: "Correct design" and practical trouble and simulation trouble but why
        83162: 05/04/25: ALuPin: Re: "Correct design" and practical trouble and simulation trouble but why
            83166: 05/04/25: Preben Holm: Re: "Correct design" and practical trouble and simulation trouble
    83167: 05/04/25: Bert Cuzeau: Re: "Correct design" and practical trouble and simulation trouble
        83170: 05/04/25: Preben Holm: Re: "Correct design" and practical trouble and simulation trouble
            83196: 05/04/26: info_: Re: "Correct design" and practical trouble and simulation trouble
83131: 05/04/24: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: slow peripherals and modelsim
    83133: 05/04/24: info_: Re: slow peripherals and modelsim
        83134: 05/04/24: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: slow peripherals and modelsim
            83138: 05/04/24: info_: Re: slow peripherals and modelsim
                83171: 05/04/25: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: slow peripherals and modelsim
                83186: 05/04/25: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: slow peripherals and modelsim
    83194: 05/04/26: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: slow peripherals and modelsim
        83197: 05/04/26: info_: Re: slow peripherals and modelsim
            83255: 05/04/26: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: slow peripherals and modelsim
83136: 05/04/24: Ziggy: New FPGA Development Board
    83137: 05/04/24: =?ISO-8859-1?Q?Benjamin_Menk=FCc?=: Re: New FPGA Development Board
    83142: 05/04/24: Simon: Re: New FPGA Development Board
        83144: 05/04/25: Ziggy: Re: New FPGA Development Board
        83165: 05/04/25: Ed McGettigan: Re: New FPGA Development Board
    83147: 05/04/25: Acceed See: Re: New FPGA Development Board
        83168: 05/04/25: Ziggy: Re: New FPGA Development Board
            83177: 05/04/26: Alex Gibson: Re: New FPGA Development Board
                83180: 05/04/25: Ziggy: Re: New FPGA Development Board
                83188: 05/04/25: Eric Smith: Re: New FPGA Development Board
                    83203: 05/04/26: Alex Gibson: Re: New FPGA Development Board
                83204: 05/04/26: Acceed See: Re: New FPGA Development Board
        83187: 05/04/25: Lawrence Wilkinson: Re: New FPGA Development Board
    83157: 05/04/25: gallen: Re: New FPGA Development Board
    83199: 05/04/25: <google@gornall.net>: Re: New FPGA Development Board
    83206: 05/04/26: Phil Tomson: Re: New FPGA Development Board
        83213: 05/04/26: Alex Gibson: Re: New FPGA Development Board
    83223: 05/04/26: Paolo: Re: Another Altera FPGA Development Board
        83228: 05/04/26: Alex Gibson: Re: Another Altera FPGA Development Board
            83233: 05/04/26: Paolo: Re: Another Altera FPGA Development Board
        83261: 05/04/26: Ziggy: Re: Another Altera FPGA Development Board
            83308: 05/04/28: Alex Gibson: Re: Another Altera FPGA Development Board
83139: 05/04/24: outsideedge: Space Invaders!
    83200: 05/04/25: cwoodring: Re: Space Invaders!
    83207: 05/04/26: Mark McDougall: Re: Space Invaders!
83143: 05/04/24: Peter: Executing program from external memory
    83153: 05/04/25: Joey: Re: Executing program from external memory
83154: 05/04/25: khoryl: ml310: linux boot faillure
    83173: 05/04/25: beeraka@gmail.com: Re: ml310: linux boot faillure
83161: 05/04/25: Markus Meng: [Sparan-II] Internal Power-On Reset Block?
    83176: 05/04/25: Markus Meng: Re: [Sparan-II] Internal Power-On Reset Block?
        83685: 05/05/05: Markus Meng: Re: [Sparan-II] Internal Power-On Reset Block?
83163: 05/04/25: mb: what is microblaze ?
    83164: 05/04/25: Sylvain Munaut: Re: what is microblaze ?
    83169: 05/04/25: Ziggy: Re: what is microblaze ?
        83319: 05/04/27: mb: Re: what is microblaze ?
83172: 05/04/25: Pocket Door: re:College Project
83179: 05/04/25: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: bad syncronous description
    83181: 05/04/25: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: bad syncronous description
        83182: 05/04/25: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: bad syncronous description
            83183: 05/04/25: Mike Treseler: Re: bad syncronous description
            83185: 05/04/25: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: bad syncronous description
    83198: 05/04/26: info_: Re: bad syncronous description
83189: 05/04/25: Simon Heinzle: Experience with Hitech Global & Xilinx
    83191: 05/04/25: Austin Lesea: Re: Experience with Hitech Global & Xilinx
        83211: 05/04/26: Simon Heinzle: Re: Experience with Hitech Global & Xilinx
            83242: 05/04/26: Austin Lesea: Re: Experience with Hitech Global & Xilinx
                83243: 05/04/26: Antti Lukats: Re: Experience with Hitech Global & Xilinx
                    83244: 05/04/26: Austin Lesea: Re: Experience with Hitech Global & Xilinx
                83257: 05/04/26: Simon Heinzle: Re: Experience with Hitech Global & Xilinx
83192: 05/04/25: Marc Reinig: Help creating a System Ace file
    83210: 05/04/26: Antti Lukats: Re: Help creating a System Ace file
    84691: 05/05/24: kurapati: problem with system ACE file on ML403 board
83201: 05/04/25: wwqiao: questions on Xilinx Virtex-4 to DDR SDRAM module
83212: 05/04/26: Alex Gibson: webpack for os x or freebsd ?
    83263: 05/04/26: Ziggy: Re: webpack for os x or freebsd ?
        83309: 05/04/28: Alex Gibson: Re: webpack for os x or freebsd ?
83214: 05/04/26: ALuPin: Sync + FIFO
    83247: 05/04/26: Duane Clark: Re: Sync + FIFO
        83287: 05/04/27: ALuPin: Re: Sync + FIFO
            83306: 05/04/27: Duane Clark: Re: Sync + FIFO
    83251: 05/04/26: Peter Alfke: Re: Sync + FIFO
        83275: 05/04/26: Ben Twijnstra: Re: Sync + FIFO
        83276: 05/04/27: info_: Re: Sync + FIFO
        83333: 05/04/28: info_: Re: Sync + FIFO
            83404: 05/04/29: Bert Cuzeau: Re: Sync + FIFO
            83417: 05/04/29: John_H: Re: Sync + FIFO
                83434: 05/04/29: John_H: Re: Sync + FIFO
                83442: 05/04/29: info_: Re: Sync + FIFO
                83449: 05/04/29: John_H: Re: Sync + FIFO
                    83480: 05/04/30: John_H: Re: Sync + FIFO
        83741: 05/05/06: Ronald H. Nicholson Jr.: Re: Sync + FIFO
    83267: 05/04/26: Berty: Re: Sync + FIFO
    83274: 05/04/26: Peter Alfke: Re: Sync + FIFO
    83315: 05/04/27: Berty: Re: Sync + FIFO
    83344: 05/04/27: Marc Randolph: Re: Sync + FIFO
    83347: 05/04/27: Peter Alfke: Re: Sync + FIFO
    83385: 05/04/28: Bryan: Re: Sync + FIFO
    83390: 05/04/28: Peter Alfke: Re: Sync + FIFO
    83395: 05/04/28: johnp: Re: Sync + FIFO
    83406: 05/04/29: gallen: Re: Sync + FIFO
    83412: 05/04/29: johnp: Re: Sync + FIFO
    83415: 05/04/29: Bryan: Re: Sync + FIFO
    83418: 05/04/29: Berty: Re: Sync + FIFO
    83420: 05/04/29: Peter Alfke: Re: Sync + FIFO
    83421: 05/04/29: Bryan: Re: Sync + FIFO
    83430: 05/04/29: Peter Alfke: Re: Sync + FIFO
        83744: 05/05/06: Ronald H. Nicholson Jr.: Re: Sync + FIFO
    83433: 05/04/29: Bryan: Re: Sync + FIFO
    83435: 05/04/29: Bryan: Re: Sync + FIFO
    83440: 05/04/29: Berty: Re: Sync + FIFO
    83447: 05/04/29: Peter Alfke: Re: Sync + FIFO
    83477: 05/04/30: Bryan: Re: Sync + FIFO
    83483: 05/04/30: Peter Alfke: Re: Sync + FIFO
    83532: 05/05/02: Bryan: Re: Sync + FIFO
    83534: 05/05/02: Berty: Re: Sync + FIFO
    83535: 05/05/02: Berty: Re: Sync + FIFO
    83538: 05/05/02: tom: Re: Sync + FIFO
83215: 05/04/26: Petter Gustad: quartus_pgm under Linux?
    83230: 05/04/26: Subroto Datta: Re: quartus_pgm under Linux?
        83235: 05/04/26: Petter Gustad: Re: quartus_pgm under Linux?
            83271: 05/04/26: Ben Twijnstra: Re: quartus_pgm under Linux?
    83249: 05/04/26: Subroto Datta: Re: quartus_pgm under Linux?
    83260: 05/04/26: Subroto Datta: Re: quartus_pgm under Linux?
83217: 05/04/26: Joey: Bus Frequency !!
83218: 05/04/26: mansoor.naseer@gmail.com: PCI plug n play and Graphics card implementation
    83225: 05/04/26: DerekSimmons@FrontierNet.net: Re: PCI plug n play and Graphics card implementation
    83226: 05/04/26: DerekSimmons@FrontierNet.net: Re: PCI plug n play and Graphics card implementation
        83291: 05/04/27: Mak: Re: PCI plug n play and Graphics card implementation
    83256: 05/04/26: Jason Zheng: Re: PCI plug n play and Graphics card implementation
    83316: 05/04/27: DerekSimmons@FrontierNet.net: Re: PCI plug n play and Graphics card implementation
    83371: 05/04/28: Andy Peters: Re: PCI plug n play and Graphics card implementation
83219: 05/04/26: Dan Nilsen: dynamic size of ports
    83253: 05/04/26: KCL: Re: dynamic size of ports
        83290: 05/04/27: Dan Nilsen: Re: dynamic size of ports
            83296: 05/04/27: Kolja Sulimma: Re: dynamic size of ports
    83272: 05/04/26: Eric: Re: dynamic size of ports
        83394: 05/04/28: Dan Nilsen: Re: dynamic size of ports
    83389: 05/04/28: Irwin Kennedy: Re: dynamic size of ports
    83400: 05/04/28: Dal: Re: dynamic size of ports
    83521: 05/05/02: backhus: Re: dynamic size of ports
83229: 05/04/26: Jan Losansky: Memec JTAG cable IJC-3
83231: 05/04/26: Mohammed A Khader: Rom Inference
    83248: 05/04/26: Bert Cuzeau: Re: Rom Inference
    83284: 05/04/26: Ken McElvain: Re: Rom Inference
        83293: 05/04/27: Ray Andraka: Re: Rom Inference
    83288: 05/04/27: Mohammed A Khader: Re: Rom Inference
    83289: 05/04/27: Mohammed A Khader: Re: Rom Inference
83234: 05/04/26: Petter Gustad: MAX II UFM data specification and programming
    83238: 05/04/26: Antti Lukats: Re: MAX II UFM data specification and programming
    83250: 05/04/26: Bert Cuzeau: Re: MAX II UFM data specification and programming
        83311: 05/04/27: Petter Gustad: Re: MAX II UFM data specification and programming
            83313: 05/04/27: Antti Lukats: Re: MAX II UFM data specification and programming
        83322: 05/04/27: Petter Gustad: Re: MAX II UFM data specification and programming
    83326: 05/04/27: Subroto Datta: Re: MAX II UFM data specification and programming
        83328: 05/04/27: Antti Lukats: Re: MAX II UFM data specification and programming
        83754: 05/05/06: Petter Gustad: Re: MAX II UFM data specification and programming
83236: 05/04/26: Jim George: ISE wishlist
    83241: 05/04/26: Antti Lukats: Re: ISE wishlist
        83280: 05/04/26: Jim George: Re: ISE wishlist
83252: 05/04/26: <Alpharomeo2k@gmx.de>: Re: A PC for make synthesis
83277: 05/04/27: info_: XC4k parts obsolete ?
    83279: 05/04/26: austin: Re: XC4k parts obsolete ?
        83286: 05/04/27: Bert Cuzeau: Re: XC4k parts obsolete ?
            83317: 05/04/27: Antti Lukats: Re: XC4k parts obsolete ?
                83329: 05/04/27: Ray Andraka: Re: XC4k parts obsolete ?
                    83330: 05/04/27: Antti Lukats: Re: XC4k parts obsolete ?
                        83342: 05/04/27: Ray Andraka: Re: XC4k parts obsolete ?
                83334: 05/04/28: info_: Re: XC4k parts obsolete ?
                    83357: 05/04/28: Bert Cuzeau: Re: XC4k parts obsolete ?
    83343: 05/04/27: Peter Alfke: Re: XC4k parts obsolete ?
83281: 05/04/26: John: RocketIO attribute for TLK3101 or TLK2501?
83282: 05/04/26: xilinx_user: Proper use of BUFGMUX and DCM in Spartan 3
    83297: 05/04/27: Gabor: Re: Proper use of BUFGMUX and DCM in Spartan 3
83285: 05/04/26: boku0712@gmail.com: Warning appeared while inferring SRAM on xilinx Virtex-E by synplify 7.3.1
83301: 05/04/27: Mohammed A Khader: Synplify warning CL209
    83305: 05/04/27: Bert Cuzeau: Re: Synplify warning CL209
    83348: 05/04/28: Tim Hubberstey: Re: Synplify warning CL209
    83351: 05/04/27: Ken McElvain: Re: Synplify warning CL209
83302: 05/04/27: <kevin@firebolt.com>: Virtex slow clock multiply options?
    83303: 05/04/27: Gabor: Re: Virtex slow clock multiply options?
        83310: 05/04/27: Kolja Sulimma: Re: Virtex slow clock multiply options?
        83327: 05/04/27: Ray Andraka: Re: Virtex slow clock multiply options?
            83350: 05/04/28: Mac: Re: Virtex slow clock multiply options?
                83376: 05/04/28: Ray Andraka: Re: Virtex slow clock multiply options?
                    83396: 05/04/29: Mac: Re: Virtex slow clock multiply options?
                    83397: 05/04/29: Mac: Re: Virtex slow clock multiply options?
    83304: 05/04/27: <kevin@firebolt.com>: Re: Virtex slow clock multiply options?
    83339: 05/04/27: <kevin@firebolt.com>: Re: Virtex slow clock multiply options?
    83383: 05/04/28: Gabor: Re: Virtex slow clock multiply options?
83307: 05/04/27: dima2882: XC9500 - creating RS485 Mux
83312: 05/04/27: Jason Zheng: x on ml300?
    83320: 05/04/27: Peter Ryser: Re: x on ml300?
    83323: 05/04/27: <larwe@larwe.com>: Re: x on ml300?
        83324: 05/04/27: Jason Zheng: Re: x on ml300?
    83340: 05/04/27: <larwe@larwe.com>: Re: x on ml300?
    83359: 05/04/28: Hans-Bernhard Broeker: Re: x on ml300?
83314: 05/04/27: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: obufds attribute problem
    83318: 05/04/27: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: obufds attribute problem
83321: 05/04/27: Roger: RocketIO decoupling
    83331: 05/04/27: Symon: Re: RocketIO decoupling
    83358: 05/04/28: John Adair: Re: RocketIO decoupling
    83361: 05/04/28: Ed McGettigan: Re: RocketIO decoupling
    83409: 05/04/29: Roger: Re: RocketIO decoupling
83325: 05/04/27: Mike: Re: XC9500 - creating RS485 Mux
83336: 05/04/27: el231bat: LM4550 AC97 Codec on the XUP board
83337: 05/04/27: Peter Soerensen: EDK 7.1 : ML40x / ML401 Reference Design
    83393: 05/04/29: Rudolf Usselmann: Re: EDK 7.1 : ML40x / ML401 Reference Design
83338: 05/04/28: Jeremy Stringer: DCM Cycle-to-Cycle Jitter
    83349: 05/04/27: austin: Re: DCM Cycle-to-Cycle Jitter
        83392: 05/04/29: Jeremy Stringer: Re: DCM Cycle-to-Cycle Jitter
83345: 05/04/28: Mac: Re: XC9500 - creating RS485 Mux
83346: 05/04/27: Peter Sommerfeld: Cygwin & Nios II
    83368: 05/04/28: dwesterg@gmail.com: Re: Cygwin & Nios II
        83405: 05/04/29: David: Re: Cygwin & Nios II
    83374: 05/04/28: <kempaj@yahoo.com>: Re: Cygwin & Nios II
    83377: 05/04/28: Peter Sommerfeld: Re: Cygwin & Nios II
83352: 05/04/27: mb: XPS vs. Project navigator
83360: 05/04/28: ALuPin: Signal use from pin
    83362: 05/04/28: Gabor: Re: Signal use from pin
    83619: 05/05/04: <ALuPin@web.de>: Re: Signal use from pin
83363: 05/04/28: Joey: Change OCM Clock
    83364: 05/04/28: Joey: Re: Change OCM Clock
    83391: 05/04/28: Peter Ryser: Re: Change OCM Clock
        83528: 05/05/02: Joey: Re: Change OCM Clock
83365: 05/04/28: Philipp Grabher: MIcroblaze FSL Datasheet
    83495: 05/05/01: bugjay: Re: MIcroblaze FSL Datasheet
83366: 05/04/28: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: clk-pad, ibufg, dcm Problem
    83384: 05/04/28: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: clk-pad, ibufg, dcm Problem
        83386: 05/04/28: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: clk-pad, ibufg, dcm Problem
83367: 05/04/28: morpheus: Formal verification tool?
83369: 05/04/28: Mouarf: Flexray ip core
    83370: 05/04/28: Antti Lukats: Re: Flexray ip core
        83372: 05/04/28: Mouarf: Re: Flexray ip core
    83457: 05/04/30: Martin Schoeberl: Re: Flexray ip core
83373: 05/04/28: Phil Tomson: Xilinx Webpack 7.1 under Wine(and libQt_Qt.dll)
    83378: 05/04/28: Phil Tomson: Re: Xilinx Webpack 7.1 under Wine(and libQt_Qt.dll)
        83399: 05/04/29: Phil Tomson: Re: Xilinx Webpack 7.1 under Wine(and libQt_Qt.dll)
    83379: 05/04/28: Uwe Bonnes: Re: Xilinx Webpack 7.1 under Wine(and libQt_Qt.dll)
        83380: 05/04/28: Phil Tomson: Re: Xilinx Webpack 7.1 under Wine(and libQt_Qt.dll)
83375: 05/04/28: Laguna_b1: Xilinx FPU for Virtex-4 over FPU
    83682: 05/05/05: Ben Jones: Re: Xilinx FPU for Virtex-4 over FPU
83381: 05/04/28: Alexander Korff: crazy behaviour of fpga, timing ?
    83387: 05/04/28: info_: Re: crazy behaviour of fpga, timing ?
        83444: 05/04/30: Alexander Korff: Re: crazy behaviour of fpga, timing ?
            83455: 05/04/30: info_: Re: crazy behaviour of fpga, timing ?
                83515: 05/05/02: Alexander Korff: Re: crazy behaviour of fpga, timing ?
                    83837: 05/05/07: info_: Re: crazy behaviour of fpga, timing ?
                83522: 05/05/02: ALuPin: Re: crazy behaviour of fpga, timing ?
                    83839: 05/05/07: info_: Re: crazy behaviour of fpga, timing ?
                        83976: 05/05/10: info_: Re: crazy behaviour of fpga, timing ?
                            84059: 05/05/12: info_: Re: crazy behaviour of fpga, timing ?
    83870: 05/05/09: <ALuPin@web.de>: Re: crazy behaviour of fpga, timing ?
    84021: 05/05/11: <ALuPin@web.de>: Re: crazy behaviour of fpga, timing ?
    84043: 05/05/11: Berty: Re: crazy behaviour of fpga, timing ?
83382: 05/04/28: George Carlson, CPC: FPGA applications in RFID
83398: 05/04/28: Stanley: How to implement this C function in FPGA
    83401: 05/04/28: JJ: Re: How to implement this C function in FPGA
    83407: 05/04/29: Stanley: Re: How to implement this C function in FPGA
        83448: 05/04/29: Philip Freidin: Re: How to implement this C function in FPGA
    83453: 05/04/29: JJ: Re: How to implement this C function in FPGA
    84130: 05/05/12: glen herrmannsfeldt: Re: How to implement this C function in FPGA
    84173: 05/05/13: Eric_at_AccelChip: Re: How to implement this C function in FPGA
    84268: 05/05/16: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: How to implement this C function in FPGA
83402: 05/04/28: Wenjun Fu: Gated Clock Timing
83403: 05/04/29: Jim George: Map Error: "RLOC not supported for simple gates"
    83414: 05/04/29: Gabor: Re: Map Error: "RLOC not supported for simple gates"
        83454: 05/04/29: Jim George: Re: Map Error: "RLOC not supported for simple gates"
            83547: 05/05/02: Ray Andraka: Re: Map Error: "RLOC not supported for simple gates"
83410: 05/04/29: Steven Derrien: Problem with JTAG server on Quartus 4.0 for XP
83411: 05/04/29: Dan: Lvds input problem urgent
    83441: 05/04/29: John M: Re: Lvds input problem urgent
83413: 05/04/29: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: how can I improve my code?
    83422: 05/04/29: info_: Re: how can I improve my code?
        83431: 05/04/29: Symon: Re: how can I improve my code?
            83456: 05/04/30: info_: Case statement illusions ?
                83459: 05/04/30: =?ISO-8859-1?Q?Benjamin_Menk=FCc?=: Re: Case statement illusions ?
                83460: 05/04/30: info_: Re: Case statement illusions ?
                    83462: 05/04/30: =?ISO-8859-1?Q?Benjamin_Menk=FCc?=: Re: Case statement illusions ?
                83467: 05/04/30: info_: Re: Case statement illusions ?
                    83471: 05/04/30: Mike Treseler: Re: Case statement illusions ?
                        83479: 05/05/01: info_: Re: Case statement illusions ?
                            83485: 05/04/30: Mike Treseler: Re: Case statement illusions ?
                    83501: 05/05/01: info_: one hot decoder
                        83651: 05/05/04: info_: Re: one hot decoder
                83516: 05/05/02: backhus: Re: Case statement illusions ?
                    83652: 05/05/04: info_: Re: Case statement illusions ?
        83450: 05/04/30: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: how can I improve my code?
    83461: 05/04/30: Mohammed A khader: Re: Case statement illusions ?
    83514: 05/05/01: Neo: Re: one hot decoder
83419: 05/04/29: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: signals in modelsim
    83423: 05/04/29: info_: Re: signals in modelsim
        83424: 05/04/29: info_: Re: signals in modelsim
    83426: 05/04/29: gallen: Re: signals in modelsim
        83452: 05/04/30: =?ISO-8859-1?Q?Benjamin_Menk=FCc?=: Re: signals in modelsim
    83428: 05/04/29: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: signals in modelsim
        83437: 05/04/29: info_: Re: signals in modelsim
            83451: 05/04/30: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: signals in modelsim
                84026: 05/05/11: Brijesh: Re: signals in modelsim
                    84031: 05/05/11: =?ISO-8859-15?Q?Benjamin_Menk=FCc?=: Re: signals in modelsim
                        84050: 05/05/11: Brijesh: Re: signals in modelsim
83427: 05/04/29: crazyd: Patent issues in implementing embedded fpgas
    83436: 05/04/29: Mike Treseler: Re: Patent issues in implementing embedded fpgas
        83443: 05/04/29: Jan Panteltje: Re: Patent issues in implementing embedded fpgas
        83603: 05/05/04: Jim Granville: Re: Patent issues in implementing embedded fpgas
    83438: 05/04/29: Peter Alfke: Re: Patent issues in implementing embedded fpgas
83429: 05/04/29: dlharmon: Nuhorizons alternatives for Xilinx parts?
    83432: 05/04/29: John Adair: Re: Nuhorizons alternatives for Xilinx parts?
83445: 05/04/29: Paul Lee: Median Filter for floating points
    83446: 05/04/30: Thomas Womack: Re: Median Filter for floating points
        84132: 05/05/12: glen herrmannsfeldt: Re: Median Filter for floating points
83458: 05/04/30: <madhav1111@gmail.com>: using cadence tool
83463: 05/04/30: LENNART KIRKEVIK: problems getting flex10k10 to work
    83469: 05/04/30: info_: Re: problems getting flex10k10 to work
    83482: 05/05/01: info_: Re: problems getting flex10k10 to work
83464: 05/04/30: Roger: VGA sync signals
    83466: 05/04/30: Falk Brunner: Re: VGA sync signals
        83470: 05/04/30: Roger: Re: VGA sync signals
        83472: 05/04/30: Roger: Re: VGA sync signals
            83473: 05/04/30: Bob: Re: VGA sync signals
                83554: 05/05/03: Bob: Re: VGA sync signals
    83474: 05/04/30: Peter Alfke: Re: VGA sync signals
    83475: 05/04/30: johnp: Re: VGA sync signals
        83476: 05/04/30: Roger: Re: VGA sync signals
83468: 05/04/30: Ziggy: FPGA Article on Slashdot.
83481: 05/04/30: Thomas: Decoupling V2P
    83484: 05/04/30: Symon: Re: Decoupling V2P
        83499: 05/05/01: Thomas: Re: Decoupling V2P
        83511: 05/05/01: John Larkin: Re: Decoupling V2P
    83548: 05/05/02: John Adair: Re: Decoupling V2P
        83613: 05/05/04: Thomas: Re: Decoupling V2P
            83616: 05/05/03: austin: Re: Decoupling V2P
            83635: 05/05/04: Symon: Re: Decoupling V2P
83486: 05/04/30: Simon: current price for (small quantity) XC4VFX12/FF668
    83487: 05/05/01: Antti Lukats: Re: current price for (small quantity) XC4VFX12/FF668
        83505: 05/05/01: Simon: Re: current price for (small quantity) XC4VFX12/FF668
            83507: 05/05/01: Antti Lukats: Re: current price for (small quantity) XC4VFX12/FF668
        83506: 05/05/01: Simon: Re: current price for (small quantity) XC4VFX12/FF668
    83493: 05/05/01: Marc Randolph: Re: current price for (small quantity) XC4VFX12/FF668


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search