Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Aug 2005

87768: 05/08/01: <bazogec@hotmail.com>: problem with Xilinx OPB to OPB bridge
87770: 05/08/01: VB: webcamera access with ML310
87784: 05/08/01: <raybakk@yahoo.no>: GNU Linker (MicroBlaze) / debugging problem
    87785: 05/08/01: Jon Beniston: Re: GNU Linker (MicroBlaze) / debugging problem
87794: 05/08/01: Telenochek: Bidirectional Bus problem with ModelSim.
    87798: 05/08/01: Brad Smallridge: Re: Bidirectional Bus problem with ModelSim.
    87807: 05/08/01: Duane Clark: Re: Bidirectional Bus problem with ModelSim.
    87834: 05/08/02: Vladislav Muravin: Re: Bidirectional Bus problem with ModelSim.
87796: 05/08/01: Brad Smallridge: Xilinx Best Source for Reset
    87802: 05/08/01: Mike Treseler: Re: Xilinx Best Source for Reset
        87882: 05/08/03: Nial Stewart: Re: Xilinx Best Source for Reset
            87904: 05/08/03: Mike Treseler: Re: Xilinx Best Source for Reset
                87924: 05/08/03: Brad Smallridge: Re: Xilinx Best Source for Reset
                    87927: 05/08/03: mk: Re: Xilinx Best Source for Reset
                        87945: 05/08/04: Nial Stewart: Re: Xilinx Best Source for Reset
                            87946: 05/08/04: Jonathan Bromley: Re: Xilinx Best Source for Reset
                                87963: 05/08/04: Nial Stewart: Re: Xilinx Best Source for Reset
                            87971: 05/08/04: Brad Smallridge: Re: Xilinx Best Source for Reset
                                87980: 05/08/04: mk: Re: Xilinx Best Source for Reset
                                    88013: 05/08/05: Brad Smallridge: Re: Xilinx Best Source for Reset
                                        88015: 05/08/05: Phil Hays: Re: Xilinx Best Source for Reset
                            87978: 05/08/04: mk: Re: Xilinx Best Source for Reset
                    87974: 05/08/04: Mike Treseler: Re: Xilinx Best Source for Reset
    87804: 05/08/01: Duane Clark: Re: Xilinx Best Source for Reset
    87833: 05/08/02: Vladislav Muravin: Re: Xilinx Best Source for Reset
87797: 05/08/01: Chris Carlen: Spartan3 with WebPack?
    87814: 05/08/02: Brian Dam Pedersen: Re: Spartan3 with WebPack?
    87839: 05/08/02: John Adair: Re: Spartan3 with WebPack?
        87851: 05/08/02: Chris Carlen: Re: Spartan3 with WebPack?
87799: 05/08/01: praetorian: Modifying opb_bram under EDK
    87805: 05/08/01: Duane Clark: Re: Modifying opb_bram under EDK
        87806: 05/08/01: praetorian: Re: Modifying opb_bram under EDK
            87809: 05/08/02: Duane Clark: Re: Modifying opb_bram under EDK
87800: 05/08/01: Brad Smallridge: Xilinx Multiple Spartan 3
    87813: 05/08/01: <coshzz@gmail.com>: Re: Xilinx Multiple Spartan 3
        87820: 05/08/02: Antti Lukats: Re: Xilinx Multiple Spartan 3
    87832: 05/08/02: Vladislav Muravin: Re: Xilinx Multiple Spartan 3
    87844: 05/08/02: Peter Alfke: Re: Xilinx Multiple Spartan 3
    87922: 05/08/03: Brad Smallridge: Re: Xilinx Multiple Spartan 3
        87968: 05/08/04: Vladislav Muravin: Re: Xilinx Multiple Spartan 3
87808: 05/08/01: ravindra kalla: circular read address generator
    87821: 05/08/02: Stefan: Re: circular read address generator
    87900: 05/08/03: ravindra kalla: hi stefen
        87910: 05/08/03: Stefan: Re: hi stefen
87812: 05/08/01: morpheus: Conversion of Schematic to Verilog/VHDL
    87817: 05/08/02: backhus: Re: Conversion of Schematic to Verilog/VHDL
    87830: 05/08/02: <ALuPin@web.de>: Re: Conversion of Schematic to Verilog/VHDL
    87831: 05/08/02: Vladislav Muravin: Re: Conversion of Schematic to Verilog/VHDL
87822: 05/08/02: Monica: AVNET Xilinx Spartan3 board, example problem
    87826: 05/08/02: Antti Lukats: Re: AVNET Xilinx Spartan3 board, example problem
    87836: 05/08/02: Monica: Re: AVNET Xilinx Spartan3 board, example problem
87823: 05/08/02: <nahum_barnea@yahoo.com>: fpga- DDR or DDR2
    87828: 05/08/02: <ALuPin@web.de>: Re: fpga- DDR or DDR2
    87847: 05/08/02: Sean Durkin: Re: fpga- DDR or DDR2
        88198: 05/08/12: Jeremy Stringer: Re: fpga- DDR or DDR2
            88203: 05/08/11: Paul Hartke: Re: fpga- DDR or DDR2
87824: 05/08/02: mike: lut problem
87829: 05/08/02: Amir Tabatabaei: Xilinx 7.1, Kernel 2.6 and modules for install_driver_installscript
    87835: 05/08/02: Brian Dam Pedersen: Re: Xilinx 7.1, Kernel 2.6 and modules for install_driver_installscript
        87842: 05/08/02: Amir Tabatabaei: Re: Xilinx 7.1, Kernel 2.6 and modules for install_driver_installscript
            87845: 05/08/02: Brian Dam Pedersen: Re: Xilinx 7.1, Kernel 2.6 and modules for install_driver_installscript
                87880: 05/08/03: Sietse Achterop: Re: Xilinx 7.1, Kernel 2.6 and modules for install_driver_installscript
    87853: 05/08/02: Piotr Wiszowaty: Re: Xilinx 7.1, Kernel 2.6 and modules for install_driver_installscript
87840: 05/08/02: pasacco: How to manage user 'reset' for post-synthesis simulation
    87866: 05/08/02: Gladiator: Re: How to manage user 'reset' for post-synthesis simulation
87841: 05/08/02: Monica: Xilinx libraries missing,j83a/c modulator IP core
87843: 05/08/02: Baxter: Porting Actel code
    87865: 05/08/02: Mike Treseler: Re: Porting Actel code
        87867: 05/08/02: Baxter: Re: Porting Actel code
            87870: 05/08/03: Antti Lukats: Re: Porting Actel code
    87873: 05/08/03: <usenet_10@stanka-web.de>: Re: Porting Actel code
        87897: 05/08/03: Baxter: Re: Porting Actel code
            87903: 05/08/03: Mike Treseler: Re: Porting Actel code
    87941: 05/08/04: Neill A: Re: Porting Actel code
87846: 05/08/02: Pete Fraser: ML401 JTAG configuration problem
    87912: 05/08/03: Nenad: Re: ML401 JTAG configuration problem
        87935: 05/08/03: Pete Fraser: Re: ML401 JTAG configuration problem
    87962: 05/08/04: Nenad: Re: ML401 JTAG configuration problem
87848: 05/08/02: dalai lamah: Programmable frequency synthesizer with Xilinx DCM
    87849: 05/08/02: Peter Alfke: Re: Programmable frequency synthesizer with Xilinx DCM
        87855: 05/08/02: Ben Twijnstra: Re: Programmable frequency synthesizer with Xilinx DCM
            87925: 05/08/04: Ben Twijnstra: Re: Programmable frequency synthesizer with Xilinx DCM
                87930: 05/08/04: Jim Granville: Re: Programmable frequency synthesizer with Xilinx DCM
                87986: 05/08/04: Ben Twijnstra: Re: Programmable frequency synthesizer with Xilinx DCM
                    87990: 05/08/05: Jim Granville: Re: Programmable frequency synthesizer with Xilinx DCM
        87861: 05/08/03: Jim Granville: Re: Programmable frequency synthesizer with Xilinx DCM
            87868: 05/08/03: Jim Granville: Re: Programmable frequency synthesizer with Xilinx DCM
    87852: 05/08/02: austin: Re: Programmable frequency synthesizer with Xilinx DCM
    87856: 05/08/02: Peter Alfke: Re: Programmable frequency synthesizer with Xilinx DCM
    87862: 05/08/02: johnp: Re: Programmable frequency synthesizer with Xilinx DCM
    87863: 05/08/02: Peter Alfke: Re: Programmable frequency synthesizer with Xilinx DCM
    87928: 05/08/03: Peter Alfke: Re: Programmable frequency synthesizer with Xilinx DCM
    87961: 05/08/04: bobrics: Re: Programmable frequency synthesizer with Xilinx DCM
    87965: 05/08/04: Peter Alfke: Re: Programmable frequency synthesizer with Xilinx DCM
    87988: 05/08/04: Peter Alfke: Re: Programmable frequency synthesizer with Xilinx DCM
    87991: 05/08/04: Peter Alfke: Re: Programmable frequency synthesizer with Xilinx DCM
87850: 05/08/02: Maaf: 5V non-volatile reprogrammable FPGA/CPLD
    87858: 05/08/02: Mike Harrison: Re: 5V non-volatile reprogrammable FPGA/CPLD
    87860: 05/08/03: Jim Granville: Re: 5V non-volatile reprogrammable FPGA/CPLD
    87892: 05/08/03: Maaf: Re: 5V non-volatile reprogrammable FPGA/CPLD
    87894: 05/08/03: Karl: Re: 5V non-volatile reprogrammable FPGA/CPLD
87864: 05/08/02: praetorian: Area Group IOB Range
    87917: 05/08/03: <bret.wade@gmail.com>: Re: Area Group IOB Range
        87918: 05/08/03: praetorian: Re: Area Group IOB Range
87874: 05/08/03: jfh: RocketIO connexion to an optical transceiver
    87875: 05/08/03: jfh: Re: RocketIO connexion to an optical transceiver
        87877: 05/08/03: Symon: Re: RocketIO connexion to an optical transceiver
        87888: 05/08/03: Symon: Re: RocketIO connexion to an optical transceiver
            87889: 05/08/03: Symon: Re: RocketIO connexion to an optical transceiver
            87943: 05/08/04: Martin Thompson: Re: RocketIO connexion to an optical transceiver
                88005: 05/08/05: Symon: Re: RocketIO connexion to an optical transceiver
                    88008: 05/08/05: Sean Durkin: Re: RocketIO connexion to an optical transceiver
                        88009: 05/08/05: Symon: Re: RocketIO connexion to an optical transceiver
    87879: 05/08/03: jfh: Re: RocketIO connexion to an optical transceiver
    87883: 05/08/03: Marc Randolph: Re: RocketIO connexion to an optical transceiver
    87885: 05/08/03: jfh: Re: RocketIO connexion to an optical transceiver
    87938: 05/08/03: Marc Randolph: Re: RocketIO connexion to an optical transceiver
    87951: 05/08/04: Marc Randolph: Re: RocketIO connexion to an optical transceiver
87876: 05/08/03: Monica: How to import EDIF netlist into ISE webpack 7.1
    87878: 05/08/03: Sean Durkin: Re: How to import EDIF netlist into ISE webpack 7.1
        87979: 05/08/04: Brian Dam Pedersen: Re: How to import EDIF netlist into ISE webpack 7.1
            87983: 05/08/04: Sean Durkin: Re: How to import EDIF netlist into ISE webpack 7.1
    87881: 05/08/03: Monica: Re: How to import EDIF netlist into ISE webpack 7.1
87884: 05/08/03: Brandon: Legality of type conversion on instance ports?
    87953: 05/08/04: Jonathan Bromley: Re: Legality of type conversion on instance ports?
        88000: 05/08/05: Jonathan Bromley: Re: Legality of type conversion on instance ports?
    87982: 05/08/04: Brandon: Re: Legality of type conversion on instance ports?
    88017: 05/08/05: Brandon: Re: Legality of type conversion on instance ports?
87887: 05/08/03: <jjlindula@hotmail.com>: System Engineering in the R/D World
    87890: 05/08/03: Dan Hollands: Re: System Engineering in the R/D World
        87907: 05/08/03: John Larkin: Re: System Engineering in the R/D World
            87908: 05/08/03: Jim Thompson: Re: System Engineering in the R/D World
                87911: 05/08/03: Harry Dellamano: Re: System Engineering in the R/D World
                87947: 05/08/04: Clay S. Turner: Re: System Engineering in the R/D World
                    87958: 05/08/04: Jim Thompson: Re: System Engineering in the R/D World
                        87985: 05/08/05: Jeremy Stringer: Re: System Engineering in the R/D World
                            88036: 05/08/07: Adrian Spilca: Re: System Engineering in the R/D World
            87915: 05/08/03: Stan Pawlukiewicz: Re: System Engineering in the R/D World
        87909: 05/08/03: Ban: Re: System Engineering in the R/D World
        87916: 05/08/03: Fred Marshall: Re: System Engineering in the R/D World
            87997: 05/08/04: Fred Marshall: Re: System Engineering in the R/D World
    87893: 05/08/03: Tim Wescott: Re: System Engineering in the R/D World
        87899: 05/08/03: Martin Eisenberg: Re: System Engineering in the R/D World
            87906: 05/08/03: Tim Wescott: Re: System Engineering in the R/D World
                88031: 05/08/06: Hal Murray: Re: System Engineering in the R/D World
                    88190: 05/08/11: Rick Lyons: Re: System Engineering in the R/D World
    87895: 05/08/03: jjlindula@hotmail.com: Re: System Engineering in the R/D World
    87896: 05/08/03: John Larkin: Re: System Engineering in the R/D World
    87898: 05/08/03: steve: Re: System Engineering in the R/D World
    87901: 05/08/03: Frank Bemelman: Re: System Engineering in the R/D World
    87902: 05/08/03: Genome: Re: System Engineering in the R/D World
        87954: 05/08/04: Genome: Re: System Engineering in the R/D World
            87959: 05/08/04: Jim Thompson: Re: System Engineering in the R/D World
            88029: 05/08/06: John Larkin: Re: System Engineering in the R/D World
            88030: 05/08/06: Jerry Avins: Re: System Engineering in the R/D World
                88034: 05/08/07: Jerry Avins: Re: System Engineering in the R/D World
                    88038: 05/08/07: Richard Owlett: Re: System Engineering in the R/D World
                        88040: 05/08/07: Jerry Avins: Re: System Engineering in the R/D World
    87905: 05/08/03: <jjlindula@hotmail.com>: Re: System Engineering in the R/D World
    87914: 05/08/03: <jjlindula@hotmail.com>: Re: System Engineering in the R/D World
    87919: 05/08/03: <jjlindula@hotmail.com>: Re: System Engineering in the R/D World
    87920: 05/08/03: <jjlindula@hotmail.com>: Re: System Engineering in the R/D World
    87923: 05/08/03: <kd_ei@yahoo.com>: Re: System Engineering in the R/D World
    87934: 05/08/03: JeffM: Re: System Engineering in the R/D World
    87942: 05/08/04: Rune Allnor: Re: System Engineering in the R/D World
    87956: 05/08/04: <jjlindula@hotmail.com>: Re: System Engineering in the R/D World
    87993: 05/08/04: David L. Jones: Re: System Engineering in the R/D World
    87999: 05/08/05: <usenet_10@stanka-web.de>: Re: System Engineering in the R/D World
    88039: 05/08/07: John Larkin: Re: System Engineering in the R/D World
    88211: 05/08/11: <haitaoz@gmail.com>: Re: System Engineering in the R/D World
    88212: 05/08/11: <haitaoz@gmail.com>: Re: System Engineering in the R/D World
87921: 05/08/03: cyd: Modulation Clock to set FPGA timing
    87931: 05/08/03: Andrew FPGA: Re: Modulation Clock to set FPGA timing
    87964: 05/08/04: cyd: Re: Modulation Clock to set FPGA timing
    87966: 05/08/04: Peter Alfke: Re: Modulation Clock to set FPGA timing
    87967: 05/08/04: Vladislav Muravin: Re: Modulation Clock to set FPGA timing
    88604: 05/08/23: cyd: Re: Modulation Clock to set FPGA timing
87926: 05/08/03: Yaju Nagaonkar: 3.3V tolerant configuration interface Spartan 3
    87929: 05/08/03: Yaju Nagaonkar: Re: 3.3V tolerant configuration interface Spartan 3
87932: 05/08/04: Paul Solomon: Quartus II 4.2 Incremental Systhesis
    87987: 05/08/04: Ben Twijnstra: Re: Quartus II 4.2 Incremental Systhesis
    88016: 05/08/05: Subroto Datta: Re: Quartus II 4.2 Incremental Systhesis
87933: 05/08/03: <apsolar@rediffmail.com>: Where can i find GeneticFPGA toolkit
    87936: 05/08/04: Antti Lukats: Re: Where can i find GeneticFPGA toolkit
    87939: 05/08/03: Peter Alfke: Re: Where can i find GeneticFPGA toolkit
        88275: 05/08/14: Rob Ryland: Re: Where can i find GeneticFPGA toolkit
    87948: 05/08/04: <apsolar@rediffmail.com>: Re: Where can i find GeneticFPGA toolkit
    87960: 05/08/04: Peter Alfke: Re: Where can i find GeneticFPGA toolkit
    87972: 05/08/04: Eric: Re: Where can i find GeneticFPGA toolkit
    87973: 05/08/04: Peter Alfke: Re: Where can i find GeneticFPGA toolkit
    88022: 05/08/05: <apsolar@rediffmail.com>: Re: Where can i find GeneticFPGA toolkit
    88023: 05/08/05: Eric: Re: Where can i find GeneticFPGA toolkit
    88053: 05/08/08: <apsolar@rediffmail.com>: Re: Where can i find GeneticFPGA toolkit
    88065: 05/08/08: Eric: Re: Where can i find GeneticFPGA toolkit
    88073: 05/08/08: Eric: Re: Where can i find GeneticFPGA toolkit
    88123: 05/08/09: <apsolar@rediffmail.com>: Re: Where can i find GeneticFPGA toolkit
    88136: 05/08/10: Eric: Re: Where can i find GeneticFPGA toolkit
    88137: 05/08/10: Eric: Re: Where can i find GeneticFPGA toolkit
    88214: 05/08/12: <apsolar@rediffmail.com>: Re: Where can i find GeneticFPGA toolkit
    88232: 05/08/12: Eric: Re: Where can i find GeneticFPGA toolkit
    88292: 05/08/14: <apsolar@rediffmail.com>: Re: Where can i find GeneticFPGA toolkit
87937: 05/08/04: Paul Solomon: Auto generation of memory files
    87940: 05/08/04: Paul Solomon: Re: Auto generation of memory files
    87949: 05/08/04: Jonathan Bromley: Re: Auto generation of memory files
        87950: 05/08/04: Paul Solomon: Re: Auto generation of memory files
            87952: 05/08/04: Jonathan Bromley: Re: Auto generation of memory files
                87955: 05/08/04: Paul Solomon: Re: Auto generation of memory files
                    87957: 05/08/04: Jonathan Bromley: Re: Auto generation of memory files
                        87994: 05/08/05: Paul Solomon: Re: Auto generation of memory files
87944: 05/08/04: simon.stockton@baesystems.com: Anyone had this error / knows what it means?
87970: 05/08/04: Brad Smallridge: Xilinx Impact order
    87976: 05/08/04: austin: Re: Xilinx Impact order
        87981: 05/08/04: Sean Durkin: Re: Xilinx Impact order
            88011: 05/08/05: Benjamin Todd: Re: Xilinx Impact order
            88071: 05/08/08: c d saunter: Re: Xilinx Impact order
                88102: 05/08/09: Sean Durkin: Re: Xilinx Impact order
        88012: 05/08/05: Brad Smallridge: Re: Xilinx Impact order
87977: 05/08/04: geoffrey wall: xilinx nallatech v4 extreme dsp development boards
    87989: 05/08/05: Roel: Re: xilinx nallatech v4 extreme dsp development boards
87995: 05/08/05: whatisofdm: Good intro books on OFDM?
    87998: 05/08/05: <porterboy76@yahoo.com>: Re: Good intro books on OFDM?
    88006: 05/08/05: JJ: Re: Good intro books on OFDM?
    88025: 05/08/06: <onyx49@juno.com>: Re: Good intro books on OFDM?
    88048: 05/08/08: Axil Frawley: Re: Good intro books on OFDM?
        88049: 05/08/07: Jerry Avins: Re: Good intro books on OFDM?
88001: 05/08/05: kelvins: about the Hold signal of serial flash .
    88004: 05/08/05: Antti Lukats: Re: about the Hold signal of serial flash .
88002: 05/08/05: <ALuPin@web.de>: Holding in output registers
    88010: 05/08/05: Andy Peters: Re: Holding in output registers
    88051: 05/08/08: <ALuPin@web.de>: Re: Holding in output registers
    88057: 05/08/08: Nicolas Matringe: Re: Holding in output registers
    88059: 05/08/08: <ALuPin@web.de>: Re: Holding in output registers
    88075: 05/08/08: Subroto Datta: Re: Holding in output registers
    88086: 05/08/09: <ALuPin@web.de>: Re: Holding in output registers
    88089: 05/08/09: <ALuPin@web.de>: Re: Holding in output registers
88003: 05/08/05: <ALuPin@web.de>: Holding in output registers
88007: 05/08/05: vssumesh: Modeling two dimensional circuits
    88019: 05/08/05: vssumesh: Re: Modeling two dimensional circuits
    88021: 05/08/05: <sharp@cadence.com>: Re: Modeling two dimensional circuits
    88024: 05/08/05: vssumesh: Re: Modeling two dimensional circuits
    88070: 05/08/08: <sharp@cadence.com>: Re: Modeling two dimensional circuits
88014: 05/08/05: geoffrey wall: Virtex 4 development boards
88018: 05/08/05: Tullio Grassi: Xilinx XC4VFX140 Availability ?
    88020: 05/08/05: austin: Re: Xilinx XC4VFX140 Availability ?
88026: 05/08/06: pasacco: How to properly use Analyzer, ILA ChipScopePro
88028: 05/08/06: Fred Abse: Re: System Engineering in the R/D World
88032: 05/08/07: zengya: anybody knows where i can get the fibre channel ip core
88033: 05/08/07: Enzo Guerra: Xilinx V4 & DDR2 Memory Interface
    88035: 05/08/07: austin: Re: Xilinx V4 & DDR2 Memory Interface
    88037: 05/08/07: Bob Perlman: Re: Xilinx V4 & DDR2 Memory Interface
88041: 05/08/07: Pratip Mukherjee: NIOS Small C library
    88069: 05/08/08: =?ISO-8859-15?Q?Heinz=2DJ=FCrgen?= Oertel: Re: NIOS Small C library
88042: 05/08/07: mk: power of two multiplier
88043: 05/08/07: <fopisarr@hotmail.com>: if you or your friend have design experience about USB2.0 OTG and 10G Ethernet,plz contact us:
    88381: 05/08/16: <fopisarr@hotmail.com>: Re: if you or your friend have design experience about USB2.0 OTG and 10G Ethernet,plz contact us:
88044: 05/08/08: Jim Granville: AS Assembler support for Lattice Mico8
88045: 05/08/07: ravindra kalla: circular buffer(its urgent)
    88056: 05/08/08: Sylvain Munaut: Re: circular buffer(its urgent)
88046: 05/08/07: Tobias Weihmann: Spartan-3: Own P&R, generate bitstream from
    88050: 05/08/08: Antti Lukats: Re: Spartan-3: Own P&R, generate bitstream from
    88090: 05/08/09: Philip Freidin: Re: Spartan-3: Own P&R, generate bitstream from
        88120: 05/08/10: Philip Freidin: Re: Spartan-3: Own P&R, generate bitstream from
            88132: 05/08/10: Antti Lukats: Re: Spartan-3: Own P&R, generate bitstream from
    88117: 05/08/09: Tobias Weihmann: Re: Spartan-3: Own P&R, generate bitstream from
    88130: 05/08/10: Tobias Weihmann: Re: Spartan-3: Own P&R, generate bitstream from
88047: 05/08/07: Guy Lemieux: FPGA 2006 - Call for Papers - Now Accepting Submissions
88052: 05/08/08: Christoph Lauer: Active module phase with multiple module instances
88054: 05/08/08: u_stadler@yahoo.de: ModelSim Error
    88055: 05/08/08: anil: Re: ModelSim Error
88058: 05/08/08: Javier Castillo: Hiding data inside a FPGA
    88061: 05/08/08: Gabor: Re: Hiding data inside a FPGA
        88064: 05/08/08: Mike Harrison: Re: Hiding data inside a FPGA
    88063: 05/08/08: Sylvain Munaut: Re: Hiding data inside a FPGA
    88088: 05/08/09: <usenet_10@stanka-web.de>: Re: Hiding data inside a FPGA
    88118: 05/08/09: jholley: Re: Hiding data inside a FPGA
        88126: 05/08/10: Antti Lukats: Re: Hiding data inside a FPGA
        88128: 05/08/10: Javier Castillo: Re: Hiding data inside a FPGA
            88131: 05/08/10: Antti Lukats: Re: Hiding data inside a FPGA
    88125: 05/08/10: <ALuPin@web.de>: Re: Hiding data inside a FPGA
    88149: 05/08/10: Andy Peters: Re: Hiding data inside a FPGA
    88154: 05/08/10: Kris Vorwerk: Re: Hiding data inside a FPGA
88060: 05/08/08: <praveen.kantharajapura@gmail.com>: sequence detection using shift register approach
    88062: 05/08/08: Gabor: Re: sequence detection using shift register approach
        88094: 05/08/09: Symon: Re: sequence detection using shift register approach
    88082: 05/08/08: <praveen.kantharajapura@gmail.com>: Re: sequence detection using shift register approach
    88087: 05/08/09: <usenet_10@stanka-web.de>: Re: sequence detection using shift register approach
88066: 05/08/08: irish: ZLIB anyone?
88067: 05/08/08: Tim Verstraete: warning for ODDR primitive?
    88072: 05/08/08: Luc: Re: warning for ODDR primitive?
88076: 05/08/08: fahadislam2002: can use bram for VGA
    88084: 05/08/09: Antti Lukats: Re: can use bram for VGA
    88085: 05/08/08: Peter Alfke: Re: can use bram for VGA
    88103: 05/08/09: fahadislam2002: Re: can use bram for VGA
    88105: 05/08/09: fahadislam2002: Re: can use bram for VGA
    88109: 05/08/09: Paul Marciano: Re: can use bram for VGA
    88111: 05/08/09: Andy Peters: Re: can use bram for VGA
    88124: 05/08/10: fahadislam2002: Re: can use bram for VGA
        88571: 05/08/23: Andreas Ehliar: Re: can use bram for VGA
88077: 05/08/08: fahadislam2002: how to reduce vga memory????????
    88080: 05/08/09: Mark McDougall: Re: how to reduce vga memory????????
        88081: 05/08/09: Mark McDougall: Re: how to reduce vga memory????????
    88104: 05/08/09: fahadislam2002: Re: how to reduce vga memory????????
        88474: 05/08/19: Mark McDougall: Re: how to reduce vga memory????????
            88552: 05/08/22: james: Re: how to reduce vga memory????????
                88557: 05/08/23: Mark McDougall: Re: how to reduce vga memory????????
                    88594: 05/08/23: james: Re: how to reduce vga memory????????
    88655: 05/08/24: Paul Marciano: Re: how to reduce vga memory????????
    88656: 05/08/24: Paul Marciano: Re: how to reduce vga memory????????
    88658: 05/08/24: Paul Marciano: Re: how to reduce vga memory????????
88078: 05/08/08: fahadislam2002: Can use SRAM instead of VRAM ......... how ???????????
    88110: 05/08/09: Andy Peters: Re: Can use SRAM instead of VRAM ......... how ???????????
88079: 05/08/08: John D. Davis: No submodule instantiation as seen in FPGA Editor
    88145: 05/08/10: John D. Davis: Re: No submodule instantiation as seen in FPGA Editor
88083: 05/08/08: el_boricua: Incorporating Cores to the Virtex2Pro PLB
    88107: 05/08/09: Paul Hartke: Re: Incorporating Cores to the Virtex2Pro PLB
    88113: 05/08/09: el_boricua: Re: Incorporating Cores to the Virtex2Pro PLB
    88114: 05/08/09: Paul Hartke: Re: Incorporating Cores to the Virtex2Pro PLB
88091: 05/08/09: <praveen.kantharajapura@gmail.com>: START /STOP sync pattern
    88093: 05/08/09: Kryten: Re: START /STOP sync pattern
    88096: 05/08/09: backhus: Re: START /STOP sync pattern
    88097: 05/08/09: Ico: Re: START /STOP sync pattern
88092: 05/08/09: Mike Harrison: What are IO standard defaults in S3 ?
    88095: 05/08/09: Symon: Re: What are IO standard defaults in S3 ?
    88119: 05/08/09: Steve Knapp (Xilinx Spartan-3 Generation FPGAs): Re: What are IO standard defaults in S3 ?
88098: 05/08/09: Christoph Lauer: partial reconfig with multipiers
88099: 05/08/09: Fridolin: Linux driver for Embedded TEMAC in Virtex4
    88106: 05/08/09: Paul Hartke: Re: Linux driver for Embedded TEMAC in Virtex4
    88112: 05/08/09: Fridolin: Re: Linux driver for Embedded TEMAC in Virtex4
88100: 05/08/09: Monica: MPEG-2 links please
    88101: 05/08/09: Georg Acher: Re: MPEG-2 links please
        88148: 05/08/10: Luis Vaccaro: Re: MPEG-2 links please
    88142: 05/08/10: Monica: Re: MPEG-2 links please
88115: 05/08/09: Suhrid: Fast Recompilation of an XPS project
    88116: 05/08/09: <edvenson@gmail.com>: Re: Fast Recompilation of an XPS project
    88122: 05/08/09: Suhrid: Re: Fast Recompilation of an XPS project
88127: 05/08/10: <oen_no_spam@yahoo.com.br>: Welcome back Mr. Knapp
    88133: 05/08/10: Antti Lukats: Re: Welcome back Mr. Knapp
        88135: 05/08/10: Thomas Entner: Re: Welcome back Mr. Knapp
    88207: 05/08/11: Steve Knapp (Xilinx Spartan-3 Generation FPGAs): Re: Welcome back Mr. Knapp
        88222: 05/08/12: Antti Lukats: Re: Welcome back Mr. Knapp
            88226: 05/08/12: Thomas Entner: Re: Welcome back Mr. Knapp
                88230: 05/08/12: Antti Lukats: Re: Welcome back Mr. Knapp
            88941: 05/08/31: Eric Smith: Re: Welcome back Mr. Knapp
    88523: 05/08/21: Steve Knapp (Xilinx Spartan-3 Generation FPGAs): Re: Welcome back Mr. Knapp
88129: 05/08/10: Pasacco: How to setup Analyzer in ChipScope Pro
    88138: 05/08/10: <patrick.melet@dmradiocom.fr>: Re: How to setup Analyzer in ChipScope Pro
    88140: 05/08/10: Pasacco: Re: How to setup Analyzer in ChipScope Pro
    88147: 05/08/10: Andy Peters: Re: How to setup Analyzer in ChipScope Pro
88134: 05/08/10: sarath: Rapid prototyping in FPGA
    88175: 05/08/11: backhus: Re: Rapid prototyping in FPGA
    88260: 05/08/13: sarath: Re: Rapid prototyping in FPGA
88139: 05/08/10: jjlindula@hotmail.com: FPGA Programming using Block Design Files or Graphic Design Files
    88143: 05/08/10: Monica: Re: FPGA Programming using Block Design Files or Graphic Design Files
        88150: 05/08/10: Mike Treseler: Re: FPGA Programming using Block Design Files or Graphic Design Files
    88146: 05/08/10: Andy Peters: Re: FPGA Programming using Block Design Files or Graphic Design Files
    88151: 05/08/10: jjlindula@hotmail.com: Re: FPGA Programming using Block Design Files or Graphic Design Files
    88152: 05/08/10: jjlindula@hotmail.com: Re: FPGA Programming using Block Design Files or Graphic Design Files
    88162: 05/08/10: Andy Peters: Re: FPGA Programming using Block Design Files or Graphic Design Files
    88205: 05/08/11: fahadislam2002: Re: FPGA Programming using Block Design Files or Graphic Des
88141: 05/08/10: Antti Lukats: Xilinx Forge compiler is discontinued ??
    88181: 05/08/11: Antti Lukats: Re: Xilinx Forge compiler is discontinued ??
88144: 05/08/10: ernie: Cypress CY7B923/33 models
    88171: 05/08/11: Klaus Falser: Re: Cypress CY7B923/33 models
        88317: 05/08/15: Mike Treseler: Re: Cypress CY7B923/33 models
    88196: 05/08/11: ernie: Re: Cypress CY7B923/33 models
    88315: 05/08/15: ernie: Re: Cypress CY7B923/33 models
    88422: 05/08/17: ernie: Re: Cypress CY7B923/33 models
88155: 05/08/10: <alessandro.strazzero@gmail.com>: Using an oscillator in a rugged environment
    88184: 05/08/11: Gabor: Re: Using an oscillator in a rugged environment
    88200: 05/08/12: Ben Twijnstra: Re: Using an oscillator in a rugged environment
    88201: 05/08/11: Peter Alfke: Re: Using an oscillator in a rugged environment
88156: 05/08/10: <sjm1218@gmail.com>: XBERT module.
    88157: 05/08/10: austin: Re: XBERT module.
    88160: 05/08/10: <sjm1218@gmail.com>: Re: XBERT module.
88158: 05/08/10: Gabor: Xilinx: Where has all the data gone?
    88159: 05/08/10: Gabor: Re: Xilinx: Where has all the data gone?
88161: 05/08/10: dave94024: ASIC suggestions
    88178: 05/08/11: <francesco.poderico@trendcomms.com>: Re: ASIC suggestions
        88208: 05/08/12: Rob: Re: ASIC suggestions
    88213: 05/08/11: <haitaoz@gmail.com>: Re: ASIC suggestions
    88248: 05/08/12: dave94024: Re: ASIC suggestions
        88249: 05/08/12: Austin Lesea: Re: ASIC suggestions
            88254: 05/08/13: Antti Lukats: Re: ASIC suggestions
    88250: 05/08/12: dave94024: Re: ASIC suggestions
    88251: 05/08/12: dave94024: Re: ASIC suggestions
    88272: 05/08/13: dave94024: Re: ASIC suggestions
88163: 05/08/10: el_boricua: EDK and ISE questions
    88170: 05/08/10: Paul Hartke: Re: EDK and ISE questions
88164: 05/08/10: PeterC: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88165: 05/08/10: Peter Alfke: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
        88174: 05/08/11: Jim Granville: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
        88245: 05/08/12: Ray Andraka: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88166: 05/08/11: Jim Granville: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88167: 05/08/10: PeterC: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88168: 05/08/10: PeterC: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88169: 05/08/10: Peter Alfke: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88172: 05/08/10: PeterC: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88189: 05/08/11: Peter Alfke: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88199: 05/08/11: PeterC: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
    88204: 05/08/11: PeterC: Re: Generating 44.1 kHz clock from 98.304 MHz crystal on FPGA ?
88173: 05/08/10: Gerr: Delays in verilog
    88182: 05/08/11: <allanherriman@hotmail.com>: Re: Delays in verilog
    88193: 05/08/11: johnp: Re: Delays in verilog
    88216: 05/08/12: Jonathan Bromley: Re: Delays in verilog
        88654: 05/08/24: Jonathan Bromley: Re: Delays in verilog
            88680: 05/08/25: Simon Peacock: Re: Delays in verilog
    88223: 05/08/12: Javier Castillo: Re: Delays in verilog
    88293: 05/08/15: Tullio Grassi: Re: Delays in verilog
88176: 05/08/11: u_stadler@yahoo.de: rom
    88179: 05/08/11: <ALuPin@web.de>: Re: rom
    88180: 05/08/11: u_stadler@yahoo.de: Re: rom
    88183: 05/08/11: backhus: Re: rom
88177: 05/08/11: <francesco.poderico@trendcomms.com>: XILINX POWERPC <-> Embedded tri-mode-MAC connection
    88187: 05/08/11: Paul Hartke: Re: XILINX POWERPC <-> Embedded tri-mode-MAC connection
88185: 05/08/11: Maki: LatticeXP availability
    88186: 05/08/11: Antti Lukats: Re: LatticeXP availability
88188: 05/08/11: Stefan: Clocks
    88191: 05/08/11: Vladislav Muravin: Re: Clocks
        88192: 05/08/11: Stefan: Re: Clocks
            88239: 05/08/12: Vladislav Muravin: Re: Clocks
    88218: 05/08/12: Rene Tschaggelar: Re: Clocks
88194: 05/08/11: Markus Meng: [Q] Virtex-IV with RLDRAM-II any experience with it?
88195: 05/08/11: ravindra kalla: use of memory in verilog(uegent please)
88197: 05/08/11: Antti Lukats: creating HARD MACROs broken in ISE 7.1 SP3 ?
    88202: 05/08/11: austin: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
        88210: 05/08/12: Antti Lukats: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
        88217: 05/08/12: Antti Lukats: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
            88240: 05/08/12: Antti Lukats: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
    88236: 05/08/12: austin: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
    88244: 05/08/12: <bret.wade@gmail.com>: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
        88253: 05/08/13: Antti Lukats: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
            88255: 05/08/13: Hal Murray: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
                88256: 05/08/13: Antti Lukats: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
                    88279: 05/08/14: Antti Lukats: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
                    88376: 05/08/16: Bob Perlman: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
    88252: 05/08/12: GPE: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
    88266: 05/08/13: <bret.wade@gmail.com>: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
    88313: 05/08/15: Andy Peters: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
88206: 05/08/11: cspatel: Microblaze
88209: 05/08/11: Herman Roebbers: Call for Delegates Communicating Process Architectures 2005 at Eindhoven
88215: 05/08/12: Martin Thompson: Re: memory in verilog(its urgent plz help)
88219: 05/08/12: CMOS: high speed image capture
    88220: 05/08/12: Antti Lukats: Re: high speed image capture
    88221: 05/08/12: <ALuPin@web.de>: Re: high speed image capture
    88224: 05/08/12: rudi: Re: high speed image capture
        88227: 05/08/12: Thomas Entner: Re: high speed image capture
    88228: 05/08/12: Mike Harrison: Re: high speed image capture
        88274: 05/08/13: Hal Murray: Re: high speed image capture
    88231: 05/08/12: Gabor: Re: high speed image capture
    88273: 05/08/13: CMOS: Re: high speed image capture
88225: 05/08/12: <praveen.kantharajapura@gmail.com>: Regarding clock muxing
    88229: 05/08/12: Gabor: Re: Regarding clock muxing
    88237: 05/08/12: Vladislav Muravin: Re: Regarding clock muxing
    88242: 05/08/12: Peter Alfke: Re: Regarding clock muxing
88233: 05/08/12: Andrew Greensted: Xilinx ISE 6.3i on Gentoo Linux
    88235: 05/08/12: Hiding in Plain Sight: Re: Xilinx ISE 6.3i on Gentoo Linux
        88241: 05/08/12: Andrew Greensted: Re: Xilinx ISE 6.3i on Gentoo Linux
    88258: 05/08/13: saned: re:Xilinx ISE 6.3i on Gentoo Linux
    88306: 05/08/15: Andrew Greensted: Re: Xilinx ISE 6.3i on Gentoo Linux
88234: 05/08/12: William Sealey Gosset: Atmel AT40k/94k Configuration Format Documentation
    88238: 05/08/12: Antti Lukats: Re: Atmel AT40k/94k Configuration Format Documentation
88243: 05/08/12: Dave: freeware/reasonable-ware c compiler for picoblaze
    88246: 05/08/12: Andy Peters: Re: freeware/reasonable-ware c compiler for picoblaze
        88265: 05/08/13: Dave: Re: freeware/reasonable-ware c compiler for picoblaze
88257: 05/08/13: el_boricua: EDK IPIF + User Core
    88284: 05/08/14: Paul Hartke: Re: EDK IPIF + User Core
88259: 05/08/13: Marco: Troubles when mapping registers into microblaze address space
    88261: 05/08/13: Marco: Re: Troubles when mapping registers into microblaze address space
    88268: 05/08/13: <usenet@zevv.nl>: Re: Troubles when mapping registers into microblaze address space
88262: 05/08/13: <v_mirgorodsky@yahoo.com>: Peter Alfke's SPDT Switch Debouncer
    88263: 05/08/13: Slurp: Re: Peter Alfke's SPDT Switch Debouncer
        88267: 05/08/13: mk: Re: Peter Alfke's SPDT Switch Debouncer
            88331: 05/08/16: Jim Granville: Re: Peter Alfke's SPDT Switch Debouncer
                88332: 05/08/15: Austin Lesea: Re: Peter Alfke's SPDT Switch Debouncer
                88345: 05/08/16: Jim Granville: Re: Peter Alfke's SPDT Switch Debouncer
                88354: 05/08/16: Uwe Bonnes: Re: Peter Alfke's SPDT Switch Debouncer
                    88368: 05/08/16: Austin Lesea: Re: Peter Alfke's SPDT Switch Debouncer
                        88544: 05/08/22: Kolja Sulimma: Re: Peter Alfke's SPDT Switch Debouncer
                            88545: 05/08/22: Austin Lesea: Re: Peter Alfke's SPDT Switch Debouncer
    88264: 05/08/13: <v_mirgorodsky@yahoo.com>: Re: Peter Alfke's SPDT Switch Debouncer
    88270: 05/08/13: <v_mirgorodsky@yahoo.com>: Re: Peter Alfke's SPDT Switch Debouncer
    88271: 05/08/13: Peter Alfke: Re: Peter Alfke's SPDT Switch Debouncer
    88325: 05/08/15: Symon: Re: Peter Alfke's SPDT Switch Debouncer
        88360: 05/08/16: Antti Lukats: Re: Peter Alfke's SPDT Switch Debouncer
            88372: 05/08/16: Uwe Bonnes: Re: Peter Alfke's SPDT Switch Debouncer
                88373: 05/08/16: Antti Lukats: Re: Peter Alfke's SPDT Switch Debouncer
    88337: 05/08/15: Peter Alfke: Re: Peter Alfke's SPDT Switch Debouncer
    88347: 05/08/15: Peter Alfke: Re: Peter Alfke's SPDT Switch Debouncer
88269: 05/08/13: <311f037@gmail.com>: Creating EDIF from VHDL
    88314: 05/08/15: Andy Peters: Re: Creating EDIF from VHDL
    88334: 05/08/16: Jeremy Stringer: Re: Creating EDIF from VHDL
        88369: 05/08/16: Duane Clark: Re: Creating EDIF from VHDL
88276: 05/08/13: <Sudhir.Singh@email.com>: Glitches in Output of FSM
    88285: 05/08/14: Peter Alfke: Re: Glitches in Output of FSM
    88291: 05/08/14: <Sudhir.Singh@email.com>: Re: Glitches in Output of FSM
88277: 05/08/14: CMOS: Avnet spartan3E development board
    88280: 05/08/14: Antti Lukats: Re: Avnet spartan3E development board
    88296: 05/08/14: Alf Katz: Re: Avnet spartan3E development board
    88298: 05/08/14: <rickystickyrick@hotmail.com>: Re: Avnet spartan3E development board
88278: 05/08/14: Fpga_Designer: Modular design flow
    88311: 05/08/15: Andy Peters: Re: Modular design flow
    88344: 05/08/15: Fpga_Designer: Re: Modular design flow
    88356: 05/08/16: Javier Castillo: Re: Modular design flow
88281: 05/08/14: austin: Re: creating HARD MACROs broken in ISE 7.1 SP3 ?
    88295: 05/08/14: GPE: ISE 7.1 'improvements' plus meandering....
        88299: 05/08/15: Jim Granville: Re: ISE 7.1 'improvements' plus meandering....
            88301: 05/08/14: GPE: Re: ISE 7.1 'improvements' plus meandering....
                88302: 05/08/15: Jim Granville: Re: ISE 7.1 'improvements' plus meandering....
                    88303: 05/08/15: GPE: Re: ISE 7.1 'improvements' plus meandering....
                88305: 05/08/15: Jim Granville: Re: ISE 7.1 'improvements' plus meandering....
88282: 05/08/14: Alex: Re: Delay implementation and logic optimization.
    88318: 05/08/15: Austin Lesea: Re: Delay implementation and logic optimization.
        88320: 05/08/15: Alex: Re: Delay implementation and logic optimization.
88283: 05/08/14: dalai lamah: Clock for serializer with a Spartan3
    88286: 05/08/14: Marc Randolph: Re: Clock for serializer with a Spartan3
        88352: 05/08/16: Antti Lukats: Re: Clock for serializer with a Spartan3
            88357: 05/08/16: dalai lamah: Re: Clock for serializer with a Spartan3
                88359: 05/08/16: dalai lamah: Re: Clock for serializer with a Spartan3
        88358: 05/08/16: dalai lamah: Re: Clock for serializer with a Spartan3
88287: 05/08/14: QRaheeL: XST (ISE 6.1i): Error: It's interesting and surprising
    88290: 05/08/14: johnp: Re: XST (ISE 6.1i): Error: It's interesting and surprising
    88312: 05/08/15: Andy Peters: Re: XST (ISE 6.1i): Error: It's interesting and surprising
        88404: 05/08/17: Symon: Re: XST (ISE 6.1i): Error: It's interesting and surprising
    88328: 05/08/15: Vladislav Muravin: Re: XST (ISE 6.1i): Error: It's interesting and surprising
88288: 05/08/14: Alex: Delay implementation and logic optimization.
    88310: 05/08/15: Andy Peters: Re: Delay implementation and logic optimization.
88294: 05/08/14: <pinod01@sympatico.ca>: VHDL Array indexing Issue in Modelsim
    88304: 05/08/15: <ALuPin@web.de>: Re: VHDL Array indexing Issue in Modelsim
    88309: 05/08/15: Andy Peters: Re: VHDL Array indexing Issue in Modelsim
    88321: 05/08/15: Hubble: Re: VHDL Array indexing Issue in Modelsim
    88349: 05/08/15: <pinod01@sympatico.ca>: Re: VHDL Array indexing Issue in Modelsim
88297: 05/08/14: <ScreamingFPGA@yahoo.com>: Spartan-3 configuration -- peculiar problem
    88300: 05/08/14: John Larkin: Re: Spartan-3 configuration -- peculiar problem
        88341: 05/08/15: John Larkin: Re: Spartan-3 configuration -- peculiar problem
            88346: 05/08/15: John Larkin: Re: Spartan-3 configuration -- peculiar problem
                88384: 05/08/16: John Larkin: Re: Spartan-3 configuration -- peculiar problem
                    88386: 05/08/16: John Larkin: Re: Spartan-3 configuration -- peculiar problem
                        88392: 05/08/17: Hal Murray: Re: Spartan-3 configuration -- peculiar problem
                        88420: 05/08/17: John Larkin: Re: Spartan-3 configuration -- peculiar problem
    88338: 05/08/15: <ScreamingFPGA@yahoo.com>: Re: Spartan-3 configuration -- peculiar problem
    88343: 05/08/15: <ScreamingFPGA@yahoo.com>: Re: Spartan-3 configuration -- peculiar problem
    88348: 05/08/15: Andrew FPGA: Re: Spartan-3 configuration -- peculiar problem
    88350: 05/08/15: Stephan: Re: Spartan-3 configuration -- peculiar problem
    88379: 05/08/16: <ScreamingFPGA@yahoo.com>: Re: Spartan-3 configuration -- peculiar problem
    88382: 05/08/16: <ScreamingFPGA@yahoo.com>: Re: Spartan-3 configuration -- peculiar problem
        88421: 05/08/17: John Larkin: Re: Spartan-3 configuration -- peculiar problem
            88435: 05/08/18: Symon: Re: Spartan-3 configuration -- peculiar problem
            88473: 05/08/18: John Larkin: Re: Spartan-3 configuration -- peculiar problem
    88385: 05/08/16: Peter Alfke: Re: Spartan-3 configuration -- peculiar problem
    88388: 05/08/16: Peter Alfke: Re: Spartan-3 configuration -- peculiar problem
    88424: 05/08/17: <ScreamingFPGA@yahoo.com>: Re: Spartan-3 configuration -- peculiar problem
88307: 05/08/15: Marco: How to disconnect a signal?
    88324: 05/08/15: Hubble: Re: How to disconnect a signal?
        88355: 05/08/16: Marco: Re: How to disconnect a signal?
88308: 05/08/15: Brian Dam Pedersen: 18-bit ROM in verilog
    88327: 05/08/15: Vladislav Muravin: Re: 18-bit ROM in verilog
        88330: 05/08/15: Brian Dam Pedersen: Re: 18-bit ROM in verilog
88319: 05/08/15: jjlindula@hotmail.com: AHDL Abandoned in Quartus?
    88336: 05/08/15: Subroto Datta: Re: AHDL Abandoned in Quartus?
        88339: 05/08/16: Jim Granville: Re: AHDL Abandoned in Quartus?
            88353: 05/08/16: Kolja Sulimma: Re: AHDL Abandoned in Quartus?
    88351: 05/08/15: htoerrin: Re: AHDL Abandoned in Quartus?
88322: 05/08/15: <alessandro.strazzero@gmail.com>: Clock generation
    88323: 05/08/15: Alex: Re: Clock generation
    88326: 05/08/15: Vladislav Muravin: Re: Clock generation
    88329: 05/08/15: Austin Lesea: Re: Clock generation
    88333: 05/08/15: Philip Freidin: Re: Clock generation
88340: 05/08/16: Paul Urbanus: XC5200 tool help needed
    88365: 05/08/16: Gabor: Re: XC5200 tool help needed
    88367: 05/08/16: Austin Lesea: Re: XC5200 tool help needed
    88371: 05/08/16: Jing: Re: XC5200 tool help needed
88342: 05/08/15: <Sudhir.Singh@email.com>: GSPx 2005 Conference
88361: 05/08/16: Bob: image sensor
    88366: 05/08/16: Gabor: Re: image sensor
    88370: 05/08/16: james: Re: image sensor
        88377: 05/08/16: Bob: image sensor
            88378: 05/08/16: Mike Treseler: Re: image sensor
    88387: 05/08/17: Jerome: Re: image sensor
88362: 05/08/16: Monica: Altera NIOSII IDE problem???
    88363: 05/08/16: GMM50: Re: Altera NIOSII IDE problem???
    88364: 05/08/16: Nial Stewart: Re: Altera NIOSII IDE problem???
    88391: 05/08/17: <ALuPin@web.de>: Re: Altera NIOSII IDE problem???
88374: 05/08/16: Antti Lukats: Antti's last comp.arch.fpga posting
    88375: 05/08/16: <zcsizmadia@gmail.com>: Re: Antti's last comp.arch.fpga posting
    88380: 05/08/17: Jim Granville: Re: Antti's last comp.arch.fpga posting
    88397: 05/08/17: <oen_no_spam@yahoo.com.br>: Re: Antti's last comp.arch.fpga posting
    88466: 05/08/18: Vladislav Muravin: Re: Antti's last comp.arch.fpga posting
        88467: 05/08/18: Pete Fraser: Re: Antti's last comp.arch.fpga posting
            88468: 05/08/18: Vladislav Muravin: Re: Antti's last comp.arch.fpga posting
        88472: 05/08/18: Austin Lesea: Re: Antti's last comp.arch.fpga posting
            88505: 05/08/20: Vladislav Muravin: Re: Antti's last comp.arch.fpga posting
                88664: 05/08/24: austin: Re: Antti's last comp.arch.fpga posting
88389: 05/08/16: <apsolar@rediffmail.com>: Evolutionary VHDL code example
    88394: 05/08/17: Neo: Re: Evolutionary VHDL code example
    88401: 05/08/17: Eric: Re: Evolutionary VHDL code example
    88402: 05/08/17: Eric: Re: Evolutionary VHDL code example
    88410: 05/08/17: Andrew Greensted: Re: Evolutionary VHDL code example
    88432: 05/08/18: <apsolar@rediffmail.com>: Re: Evolutionary VHDL code example
    88510: 05/08/20: Phil Tomson: Re: Evolutionary VHDL code example
88390: 05/08/17: Marco: Changing data into mapped register
88393: 05/08/17: easystep2: FPGA-Based system design project
    88407: 05/08/17: Eric: Re: FPGA-Based system design project
        88408: 05/08/17: Javier Castillo: Re: FPGA-Based system design project
    88465: 05/08/18: Vladislav Muravin: Re: FPGA-Based system design project
88395: 05/08/17: Mike Harrison: Easy USB2.0 hi-speed device solutions ?
    88400: 05/08/17: Alex: Re: Easy USB2.0 hi-speed device solutions ?
    88405: 05/08/17: Manfred Kraus: Re: Easy USB2.0 hi-speed device solutions ?
    88440: 05/08/18: Gregory C. Read: Re: Easy USB2.0 hi-speed device solutions ?
88396: 05/08/17: Pasacco: Chipscope pro : timing constraint?
    88411: 05/08/17: Ed McGettigan: Re: Chipscope pro : timing constraint?
        88419: 05/08/17: Ed McGettigan: Re: Chipscope pro : timing constraint?
            88452: 05/08/18: Ed McGettigan: Re: Chipscope pro : timing constraint?
        88484: 05/08/19: Ed McGettigan: Re: Chipscope pro : timing constraint?
    88413: 05/08/17: Pasacco: Re: Chipscope pro : timing constraint?
    88433: 05/08/18: Pasacco: Re: Chipscope pro : timing constraint?
    88461: 05/08/18: Andy Peters: Re: Chipscope pro : timing constraint?
    88478: 05/08/19: Pasacco: Re: Chipscope pro : timing constraint?
    88487: 05/08/19: Pasacco: Re: Chipscope pro : timing constraint?
88398: 05/08/17: Andrew Greensted: Xilinx ISE on remtoe Display
    88399: 05/08/17: Andrew Greensted: Re: Xilinx ISE on remtoe Display
        88403: 05/08/17: Sean Durkin: Re: Xilinx ISE on remtoe Display
    88406: 05/08/17: Hiding in Plain Sight: Re: Xilinx ISE on remtoe Display
        88409: 05/08/17: Andrew Greensted: Re: Xilinx ISE on remtoe Display
    88412: 05/08/17: Adrian Knoth: Re: Xilinx ISE on remtoe Display
    88428: 05/08/18: Jim Wu: Re: Xilinx ISE on remtoe Display
        88437: 05/08/18: Andrew Greensted: Re: Xilinx ISE on remtoe Display
    88644: 05/08/24: Andrew Greensted: Re: Xilinx ISE on remtoe Display
88414: 05/08/17: Marco: Modelsim on a remote display
    88415: 05/08/17: unfrostedpoptart: Re: Modelsim on a remote display
        88431: 05/08/18: Marco: Re: Modelsim on a remote display
            88436: 05/08/18: Sylvain Munaut: Re: Modelsim on a remote display
                88441: 05/08/18: Marco: Re: Modelsim on a remote display
            88448: 05/08/18: Marco: Re: Modelsim on a remote display
                88454: 05/08/18: Mike Treseler: Re: Modelsim on a remote display
    88442: 05/08/18: Jon Beniston: Re: Modelsim on a remote display
88416: 05/08/17: czerstwy: Problem with quartus 5.0 sp1
    88417: 05/08/17: Subroto Datta: Re: Problem with quartus 5.0 sp1
        88430: 05/08/18: czerstwy: Re: Problem with quartus 5.0 sp1
    88439: 05/08/18: Sylvain Munaut: Re: Problem with quartus 5.0 sp1
        88446: 05/08/18: Paul Leventis (at home): Re: Problem with quartus 5.0 sp1
88418: 05/08/18: Jim Granville: Re: super fast divide-by-N
    88426: 05/08/18: Jim Granville: Re: super fast divide-by-N
        88458: 05/08/18: Austin Lesea: Re: super fast divide-by-N
88423: 05/08/17: Peter Alfke: Re: super fast divide-by-N
88429: 05/08/18: Markus Meng: [Q] Synthesis : HowTo Preserve FSM encodings
    88434: 05/08/18: Neo: Re: Synthesis : HowTo Preserve FSM encodings
        88438: 05/08/18: Alex: Re: Synthesis : HowTo Preserve FSM encodings
    88476: 05/08/18: des00: Re: Synthesis : HowTo Preserve FSM encodings
88443: 05/08/18: Marco: State Machine and BUFG
    88444: 05/08/18: Sean Durkin: Re: State Machine and BUFG
    88445: 05/08/18: Marco: Re: State Machine and BUFG
        88449: 05/08/18: Kolja Sulimma: Re: State Machine and BUFG
            88453: 05/08/18: Marco: Re: State Machine and BUFG
                88470: 05/08/18: Marco: Re: State Machine and BUFG
    88464: 05/08/18: Vladislav Muravin: Re: State Machine and BUFG
88447: 05/08/18: mvetromille: Two microblaze in EDK
    88450: 05/08/18: Paul Hartke: Re: Two microblaze in EDK
    88456: 05/08/18: Adrian Knoth: Re: Two microblaze in EDK
        88457: 05/08/18: Paul Hartke: Re: Two microblaze in EDK
            88479: 05/08/19: Adrian Knoth: Re: Two microblaze in EDK
                88482: 05/08/19: Paul Hartke: Re: Two microblaze in EDK
                    88818: 05/08/29: Adrian Knoth: Re: Two microblaze in EDK
88451: 05/08/18: Brandon: XST Help - Device Utilization Woes
    88455: 05/08/18: Symon: Re: XST Help - Device Utilization Woes
    88462: 05/08/18: Vladislav Muravin: Re: XST Help - Device Utilization Woes
    88480: 05/08/19: Simon Peacock: Re: XST Help - Device Utilization Woes
    88540: 05/08/22: Brandon: Re: XST Help - Device Utilization Woes
    88542: 05/08/22: John McCaskill: Re: XST Help - Device Utilization Woes
    88548: 05/08/22: Andrew FPGA: Re: XST Help - Device Utilization Woes
    88642: 05/08/24: Brandon: Re: XST Help - Device Utilization Woes
    88669: 05/08/24: Andrew FPGA: Re: XST Help - Device Utilization Woes
    88691: 05/08/25: <aholtzma@gmail.com>: Re: XST Help - Device Utilization Woes
88459: 05/08/18: zoinks@mytrashmail.com: DDR memory writing all data twice & IPIF questions
88460: 05/08/18: Andy Peters: Re: State Machine and BUFG
88463: 05/08/18: Nitesh: Download bit stream onto ml310 ( virtex 2 pro ) using uart cable
    88469: 05/08/18: Paul Hartke: Re: Download bit stream onto ml310 ( virtex 2 pro ) using uart cable
    88471: 05/08/18: Nitesh: Re: Download bit stream onto ml310 ( virtex 2 pro ) using uart cable
88475: 05/08/18: Chris Beg: looking for OLD OLD software
    88485: 05/08/19: Austin Lesea: Re: looking for OLD OLD software
88477: 05/08/18: Designfreek: PLL
    88481: 05/08/19: Subroto Datta: Re: PLL
    88483: 05/08/19: Ben Twijnstra: Re: PLL
88486: 05/08/19: Marc Battyani: Best FPGA for floating point performance
    88490: 05/08/19: Austin Lesea: Re: Best FPGA for floating point performance
        88493: 05/08/19: Marc Battyani: Re: Best FPGA for floating point performance
        88501: 05/08/19: Ray Andraka: Re: Best FPGA for floating point performance
    88491: 05/08/19: c d saunter: Re: Best FPGA for floating point performance
        88495: 05/08/19: Marc Battyani: Re: Best FPGA for floating point performance
            88502: 05/08/20: Thomas Womack: Re: Best FPGA for floating point performance
    88492: 05/08/19: JJ: Re: Best FPGA for floating point performance
        88494: 05/08/19: Austin Lesea: Re: Best FPGA for floating point performance
            88498: 05/08/19: Austin Lesea: Re: Best FPGA for floating point performance
                88500: 05/08/20: Marc Battyani: Re: Best FPGA for floating point performance
                88503: 05/08/20: Thomas Womack: Re: Best FPGA for floating point performance
                    88772: 05/08/27: austin: Re: Best FPGA for floating point performance
                        88773: 05/08/28: Simon Peacock: Re: Best FPGA for floating point performance
                        89205: 05/09/07: glen herrmannsfeldt: Re: Best FPGA for floating point performance
                    88789: 05/08/28: mk: Re: Best FPGA for floating point performance
                        88796: 05/08/29: mk: Re: Best FPGA for floating point performance
                            88799: 05/08/29: Tommy Thorn: Re: Best FPGA for floating point performance
                                88805: 05/08/29: Simon Peacock: Re: Best FPGA for floating point performance
                                    88835: 05/08/30: Jim Granville: Re: Best FPGA for floating point performance
                                    88848: 05/08/30: Simon Peacock: Re: Best FPGA for floating point performance
        88496: 05/08/19: Marc Battyani: Re: Best FPGA for floating point performance
    88497: 05/08/19: JJ: Re: Best FPGA for floating point performance
    88499: 05/08/19: JJ: Re: Best FPGA for floating point performance
    88508: 05/08/20: austin: Re: Best FPGA for floating point performance
    88697: 05/08/25: glen herrmannsfeldt: Re: Best FPGA for floating point performance
        88711: 05/08/25: Marc Battyani: Re: Best FPGA for floating point performance
            89279: 05/09/09: glen herrmannsfeldt: Re: Best FPGA for floating point performance
                90400: 05/10/11: Ray Andraka: Re: Best FPGA for floating point performance
    88732: 05/08/26: robin.bruce@gmail.com: Re: Best FPGA for floating point performance
    88733: 05/08/26: gantlord: Re: Best FPGA for floating point performance
    88781: 05/08/28: JJ: Re: Best FPGA for floating point performance
    88791: 05/08/28: JJ: Re: Best FPGA for floating point performance
    88812: 05/08/29: JJ: Re: Best FPGA for floating point performance
    88814: 05/08/29: JJ: Re: Best FPGA for floating point performance
    88815: 05/08/29: JJ: Re: Best FPGA for floating point performance
    88857: 05/08/30: JJ: Re: Best FPGA for floating point performance
88488: 05/08/19: Jo Schambach: USB Blaster
    88532: 05/08/22: Kolja Sulimma: Re: USB Blaster
88489: 05/08/19: <jms019@gmail.com>: Kingston module structure
    88709: 05/08/26: Jeremy Stringer: Re: Kingston module structure
    88738: 05/08/26: Duane Clark: Re: Kingston module structure
88504: 05/08/20: rayjune: Could you tell me some other good forums or website related?
    88506: 05/08/20: gallen: Re: Could you tell me some other good forums or website related?
    88507: 05/08/20: gallen: Re: Could you tell me some other good forums or website related?
88509: 05/08/20: <mikelinyoho@gmail.com>: What is the diffrences between lattice's FPGA and Xilinx's FPGA
    88521: 05/08/21: Philip Freidin: Re: What is the diffrences between lattice's FPGA and Xilinx's FPGA
    88529: 05/08/21: Davy: Re: What is the diffrences between lattice's FPGA and Xilinx's FPGA
    88537: 05/08/22: Gabor: Re: What is the diffrences between lattice's FPGA and Xilinx's FPGA
88511: 05/08/20: Marco: Verilog translation
    88526: 05/08/21: Neo: Re: Verilog translation
        88534: 05/08/22: Marco: Re: Verilog translation
88512: 05/08/21: mikelinyoho: Why some firmware is made by lattice's FPGA instead of C language?
    88522: 05/08/21: Philip Freidin: Re: Why some firmware is made by lattice's FPGA instead of C language?
88513: 05/08/21: jedi: Altera mysupport
    88514: 05/08/21: Thomas Entner: Re: Altera mysupport
88515: 05/08/21: Nick: Using very large number in VHDL
    88516: 05/08/21: Marc Randolph: Re: Using very large number in VHDL
        88527: 05/08/22: Nick: Re: Using very large number in VHDL
            88547: 05/08/22: MM: Re: Using very large number in VHDL
                88593: 05/08/23: Nick: Re: Using very large number in VHDL
    88517: 05/08/21: Mike Treseler: Re: Using very large number in VHDL
    88575: 05/08/23: Marc Randolph: Re: Using very large number in VHDL
88518: 05/08/21: <bjskill@rocketmail.com>: Sharing SDRAM on Stratix II DSP Development kit
88519: 05/08/21: gallen: real constants in XST
    88525: 05/08/21: Neo: Re: real constants in XST
    88538: 05/08/22: gallen: Re: real constants in XST
88520: 05/08/21: Tommy Thorn: Quartus performance penalty of {a,b} <= {c,d} vs. a<=c; b<=d;
    88524: 05/08/21: Neo: Re: Quartus performance penalty of {a,b} <= {c,d} vs. a<=c; b<=d;
    88560: 05/08/23: Subroto Datta: Re: Quartus performance penalty of {a,b} <= {c,d} vs. a<=c; b<=d;
        89208: 05/09/07: glen herrmannsfeldt: Re: Quartus performance penalty of {a,b} <= {c,d} vs. a<=c; b<=d;
        89209: 05/09/07: glen herrmannsfeldt: Re: Quartus performance penalty of {a,b} <= {c,d} vs. a<=c; b<=d;
            89274: 05/09/09: glen herrmannsfeldt: Re: Quartus performance penalty of {a,b} <= {c,d} vs. a<=c; b<=d;
        89235: 05/09/08: Subroto Datta: Re: Quartus performance penalty of {a,b} <= {c,d} vs. a<=c; b<=d;
88528: 05/08/22: Ulrich Bangert: Symmetric clocks with ALTERA Quartus
    88530: 05/08/22: Hal Murray: Re: Symmetric clocks with ALTERA Quartus
        88533: 05/08/22: Ulrich Bangert: Re: Symmetric clocks with ALTERA Quartus
88535: 05/08/22: jvdh: Spartan slave-parallel development board
88536: 05/08/22: alpha: ISE7.1i SP3, Dual port block ram, coregen issue
    88561: 05/08/22: Marko: Re: ISE7.1i SP3, Dual port block ram, coregen issue
    88562: 05/08/22: alpha: Re: ISE7.1i SP3, Dual port block ram, coregen issue
88539: 05/08/22: <praveen.kantharajapura@gmail.com>: Problem in timing simulation(Altera)
    88543: 05/08/22: Mike Treseler: Re: Problem in timing simulation(Altera)
88541: 05/08/22: <wolf359mmcb@gmail.com>: chipscope pro 6.3i clocking issue
    88567: 05/08/23: =?ISO-8859-1?Q?Johan_Bernsp=E5ng?=: Re: chipscope pro 6.3i clocking issue
88546: 05/08/22: mikelinyoho: How can I see the waveform of my verilog codes?
    88549: 05/08/22: Andy Peters: Re: How can I see the waveform of my verilog codes?
88550: 05/08/22: Love Singhal: Problem in using Hard Macros in Xilinx ISE 7.1
88551: 05/08/22: James Morrison: Generic Memory-Mapped VHDL Module
    88554: 05/08/22: Mike Treseler: Re: Generic Memory-Mapped VHDL Module
    88555: 05/08/22: MikeJ: Re: Generic Memory-Mapped VHDL Module
88553: 05/08/22: <Terradestroyer@gmail.com>: uDMA Hard drive interface - putting together multiple programs.
    88558: 05/08/23: Mark McDougall: Re: uDMA Hard drive interface - putting together multiple programs.
        88595: 05/08/23: Mike Treseler: Re: uDMA Hard drive interface - putting together multiple programs.
    88588: 05/08/23: <Terradestroyer@gmail.com>: Re: uDMA Hard drive interface - putting together multiple programs.
    88612: 05/08/23: <Terradestroyer@gmail.com>: Re: uDMA Hard drive interface - putting together multiple programs.
88556: 05/08/23: Alex: Different Synthesis Results on Different Levels of Hierarchy (different amount of occupying slices)
    88559: 05/08/22: Mike Treseler: Re: Different Synthesis Results on Different Levels of Hierarchy
        88573: 05/08/23: Alex: Re: Different Synthesis Results on Different Levels of Hierarchy (different amount of occupying slices)
            88578: 05/08/23: Alex: Re: Different Synthesis Results on Different Levels of Hierarchy (different amount of occupying slices)
                88581: 05/08/23: Benjamin Todd: Re: Different Synthesis Results on Different Levels of Hierarchy (different amount of occupying slices)
                    88667: 05/08/25: Alex: Re: Different Synthesis Results on Different Levels of Hierarchy (different amount of occupying slices)
            88589: 05/08/23: Alex: Re: Different Synthesis Results on Different Levels of Hierarchy (different amount of occupying slices)
    88574: 05/08/23: Marc Randolph: Re: Different Synthesis Results on Different Levels of Hierarchy (different amount of occupying slices)
88563: 05/08/22: CMOS: digilent boards
88564: 05/08/23: sd: Good SystemC tutorials or books?
    88565: 05/08/22: Neo: Re: Good SystemC tutorials or books?
    88591: 05/08/23: Dimitri Turbiner: re:Good SystemC tutorials or books?
    88606: 05/08/23: Jaakko Varteva: Re: Good SystemC tutorials or books?
    88657: 05/08/24: <jjohnson@cs.ucf.edu>: Re: Good SystemC tutorials or books?
88566: 05/08/22: <vizziee@gmail.com>: Unused pins from FPGA to LAN91C111 (through NIOS)
88568: 05/08/23: zoinks@mytrashmail.com: DCM does not do anything?
    88580: 05/08/23: Benjamin Todd: Re: DCM does not do anything?
    88586: 05/08/23: zoinks@mytrashmail.com: Re: DCM does not do anything?
    88617: 05/08/23: Andrew FPGA: Re: DCM does not do anything?
    88628: 05/08/24: zoinks@mytrashmail.com: Re: DCM does not do anything?
    88634: 05/08/24: zoinks@mytrashmail.com: Re: DCM does not do anything?
    88649: 05/08/24: Duane Clark: Re: DCM does not do anything?
    88666: 05/08/24: Richard Carey: Re: DCM does not do anything?
    88962: 05/09/01: zoinks@mytrashmail.com: Re: DCM does not do anything?
88569: 05/08/23: Marco: Stdin / stdout through RS232
    88572: 05/08/23: Sean Durkin: Re: Stdin / stdout through RS232
        88576: 05/08/23: Marco: Re: Stdin / stdout through RS232
            88579: 05/08/23: Benjamin Todd: Re: Stdin / stdout through RS232
                88582: 05/08/23: Marco: Re: Stdin / stdout through RS232
                    88583: 05/08/23: Benjamin Todd: Re: Stdin / stdout through RS232
                    88584: 05/08/23: Benjamin Todd: Re: Stdin / stdout through RS232
                        88587: 05/08/23: Marco: Re: Stdin / stdout through RS232
                            88592: 05/08/23: Joel Kolstad: Re: Stdin / stdout through RS232
                                88662: 05/08/24: Thomas Entner: Re: Stdin / stdout through RS232
            88609: 05/08/23: Philip Freidin: Re: Stdin / stdout through RS232
                88690: 05/08/25: Marco: Re: Stdin / stdout through RS232
                    88727: 05/08/26: Philip Freidin: Re: Stdin / stdout through RS232
                88693: 05/08/25: Marco: Re: Stdin / stdout through RS232
88570: 05/08/23: huangjielg@gmail.com: Xilinx place and route cost table
    88590: 05/08/23: John Adair: Re: Xilinx place and route cost table
        88719: 05/08/26: Jeff Cunningham: Re: Xilinx place and route cost table
    88648: 05/08/24: John Retta: Re: Xilinx place and route cost table
88577: 05/08/23: Marco: Using bootloader
    88585: 05/08/23: Marco: Re: Using bootloader
88596: 05/08/23: John Adair: FPGA Development Board Wish List
    88600: 05/08/23: John_H: Re: FPGA Development Board Wish List
        88601: 05/08/23: John Adair: Re: FPGA Development Board Wish List
            88605: 05/08/23: John_H: Re: FPGA Development Board Wish List
                88728: 05/08/26: Sylvain Munaut: Re: FPGA Development Board Wish List
                    88942: 05/08/31: Eric Smith: Re: FPGA Development Board Wish List
                        89023: 05/09/02: John Adair: Re: FPGA Development Board Wish List
    88607: 05/08/23: Sylvain Munaut: Re: FPGA Development Board Wish List
        88610: 05/08/23: John Adair: Re: FPGA Development Board Wish List
    88608: 05/08/23: Mike Harrison: Re: FPGA Development Board Wish List
        88611: 05/08/23: John Adair: Re: FPGA Development Board Wish List
        88613: 05/08/24: Sylvain Munaut: Re: FPGA Development Board Wish List
            88630: 05/08/24: Mike Harrison: Re: FPGA Development Board Wish List
                88632: 05/08/24: Sylvain Munaut: Re: FPGA Development Board Wish List
                    88716: 05/08/25: Simon: Re: FPGA Development Board Wish List
                        88726: 05/08/26: John Adair: Re: FPGA Development Board Wish List
    88636: 05/08/24: <yusufilker@gmail.com>: Re: FPGA Development Board Wish List
    88646: 05/08/24: c d saunter: Re: FPGA Development Board Wish List
        88652: 05/08/24: John Adair: Re: FPGA Development Board Wish List
            88682: 05/08/25: Simon Peacock: Re: FPGA Development Board Wish List
                88686: 05/08/25: John Adair: Re: FPGA Development Board Wish List
                    88723: 05/08/26: Simon Peacock: Re: FPGA Development Board Wish List
        88845: 05/08/30: A. P. Richelieu: Re: FPGA Development Board Wish List
            88846: 05/08/30: Mike Harrison: Re: FPGA Development Board Wish List
88597: 05/08/23: geoffrey wall: chipscope problems
    88599: 05/08/23: Duane Clark: Re: chipscope problems
        88623: 05/08/24: =?ISO-8859-1?Q?Johan_Bernsp=E5ng?=: Re: chipscope problems
        88651: 05/08/24: geoffrey wall: Re: chipscope problems
    88900: 05/08/31: Zara: Re: chipscope problems
88598: 05/08/23: Nicholas Weaver: 10 Gigabit Ethernet FPGA boards...
    88602: 05/08/23: John Adair: Re: 10 Gigabit Ethernet FPGA boards...
88603: 05/08/23: Marco: Xilinx Xapp482: syncword?
88614: 05/08/23: Jerry: 802.11 IP
88616: 05/08/23: <wv9557@yahoo.com>: Re: Stdin / stdout through RS232
88618: 05/08/23: CMOS: xilinx or digilent
    88619: 05/08/23: GPE: Re: xilinx or digilent
        88621: 05/08/24: GPE: Re: xilinx or digilent
            88625: 05/08/24: Hal Murray: Re: xilinx or digilent
                88668: 05/08/24: GPE: Re: xilinx or digilent
    88620: 05/08/23: CMOS: Re: xilinx or digilent
    88622: 05/08/23: CMOS: Re: xilinx or digilent
    88631: 05/08/24: Mike Harrison: Re: xilinx or digilent
    88675: 05/08/24: CMOS: Re: xilinx or digilent
    88943: 05/08/31: Eric Smith: Re: xilinx or digilent
88624: 05/08/24: <apsolar@rediffmail.com>: Software simulation of hardware evolution
    88640: 05/08/24: Gabor: Re: Software simulation of hardware evolution
88626: 05/08/24: <stud_lang_jap@yahoo.com>: Strange FPGA problem
    88650: 05/08/24: Alex: Re: Strange FPGA problem
88627: 05/08/24: pho: Send IP packets at the Ethernet level with VIRTEX4
    88638: 05/08/24: Marc Randolph: Re: Send IP packets at the Ethernet level with VIRTEX4
    88653: 05/08/24: Nicholas Weaver: Re: Send IP packets at the Ethernet level with VIRTEX4
88629: 05/08/24: echoisme: what is the difference between "configuring" and "programming"?
    88635: 05/08/24: huangjie: Re: what is the difference between "configuring" and "programming"?
    88637: 05/08/24: Symon: Re: what is the difference between "configuring" and "programming"?
    88665: 05/08/24: Neil Glenn Jacobson: Re: what is the difference between "configuring" and "programming"?
        88674: 05/08/24: Symon: Re: what is the difference between "configuring" and "programming"?
            88689: 05/08/25: austin: Re: what is the difference between "configuring" and "programming"?
                88694: 05/08/25: Symon: Re: what is the difference between "configuring" and "programming"?
                    88703: 05/08/25: austin: Re: what is the difference between "configuring" and "programming"?
                        88725: 05/08/26: Symon: Re: what is the difference between "configuring" and "programming"?
                88717: 05/08/25: rk: Re: what is the difference between "configuring" and "programming"?
    88670: 05/08/24: echoisme: Re: what is the difference between "configuring" and "programming"?
    88720: 05/08/25: echoisme: Re: what is the difference between "configuring" and "programming"?
88633: 05/08/24: Pierre: Spartan and Flash PROM : Boundary Scan
    88683: 05/08/25: Benjamin Todd: Re: Spartan and Flash PROM : Boundary Scan
88639: 05/08/24: Wojtek2U: Does LOCKED signal of Spartan3 DCM require clock to be de-asserted?
    88643: 05/08/24: Symon: Re: Does LOCKED signal of Spartan3 DCM require clock to be de-asserted?
    88645: 05/08/24: Alvin Andries: Re: Does LOCKED signal of Spartan3 DCM require clock to be de-asserted?
88641: 05/08/24: Felix Madlener: fpga_editor and fvwm
88647: 05/08/24: <Terradestroyer@gmail.com>: Drive startup mode - PIO write problems from FPGA
    88660: 05/08/24: Folkert Rienstra: Re: Drive startup mode - PIO write problems from FPGA
        88713: 05/08/26: Folkert Rienstra: Re: Drive startup mode - PIO write problems from FPGA
    88704: 05/08/25: <Terradestroyer@gmail.com>: Re: Drive startup mode - PIO write problems from FPGA
    88718: 05/08/25: <Terradestroyer@gmail.com>: Re: Drive startup mode - PIO write problems from FPGA
88659: 05/08/24: Bubba: Help coding a bigger project
    88661: 05/08/24: Vladislav Muravin: Re: Help coding a bigger project
    88663: 05/08/24: Mike Treseler: Re: Help coding a bigger project
    88681: 05/08/25: Simon Peacock: Re: Help coding a bigger project
        88706: 05/08/25: Bubba: Re: Help coding a bigger project
            88708: 05/08/25: Mike Treseler: Re: Help coding a bigger project
88671: 05/08/24: el_boricua: Single PPC with DES on V2P
    88672: 05/08/24: Paul Hartke: Re: Single PPC with DES on V2P
    88687: 05/08/25: el_boricua: Re: Single PPC with DES on V2P
    88696: 05/08/25: Paul Hartke: Re: Single PPC with DES on V2P
    88705: 05/08/25: el_boricua: Re: Single PPC with DES on V2P
88673: 05/08/25: Paul Solomon: ADC Clock on Stratix II DSP Dev Board
    88894: 05/08/30: Vaughn Betz: Re: ADC Clock on Stratix II DSP Dev Board
88676: 05/08/24: CMOS: TTL, CMOS and spartan
    88677: 05/08/25: Symon: Re: TTL, CMOS and spartan
    88700: 05/08/25: dlharmon: Re: TTL, CMOS and spartan
        88710: 05/08/25: Sylvain Munaut: Re: TTL, CMOS and spartan
        88784: 05/08/28: james: Re: TTL, CMOS and spartan
    89105: 05/09/05: dlharmon: Re: TTL, CMOS and spartan
88678: 05/08/25: Dimitri Turbiner: Library of eBooks on FPGA's and other programming stuff
    88685: 05/08/25: Neo: Re: Library of eBooks on FPGA's and other programming stuff
    88715: 05/08/26: Jason Ozolins: Re: Library of eBooks on FPGA's and other programming stuff
    88743: 05/08/27: Ziggy: Re: Library of eBooks on FPGA's and other programming stuff
88679: 05/08/25: Marco: Microblaze Simple Bootloader
88684: 05/08/25: abeaujean@gillam-fei.be: Altera ByteBlaster II vs ByteBlaster MV
    88712: 05/08/26: Ben Twijnstra: Re: Altera ByteBlaster II vs ByteBlaster MV
88688: 05/08/25: Vladislav Muravin: i need some help ASAP !!! (DLL - Spartan-IIE)
    88692: 05/08/25: johnp: Re: i need some help ASAP !!! (DLL - Spartan-IIE)
        88741: 05/08/26: Vladislav Muravin: Re: i need some help ASAP !!! (DLL - Spartan-IIE)
    88736: 05/08/26: AdamS: Re: i need some help ASAP !!! (DLL - Spartan-IIE)
88702: 05/08/25: anup: On a different note: Unable to write edif files in Synopsys Design Compiler
88707: 05/08/25: el_boricua: DMA issues with IPIF on V2P
88721: 05/08/25: Harish Vutukuru: Issues with Synplify Pro 7.7 synthesis
    88734: 05/08/26: John_H: Re: Issues with Synplify Pro 7.7 synthesis
    88755: 05/08/27: Fpga_Designer: Re: Issues with Synplify Pro 7.7 synthesis
88722: 05/08/25: echoisme: SystemACE CF and partial reconfiguration
88724: 05/08/26: Marco: Bootloader Linker Script Help
88729: 05/08/26: <amir.intisar@gmail.com>: Writing to Spartan 3 SRAM
    88730: 05/08/26: Aurelian Lazarut: Re: Writing to Spartan 3 SRAM
    88731: 05/08/26: Eric: Re: Writing to Spartan 3 SRAM
    88740: 05/08/26: Vladislav Muravin: Re: Writing to Spartan 3 SRAM
88735: 05/08/26: AdamS: Phase Offset in Xilinx DDS Core
    88737: 05/08/26: Falk Brunner: Re: Phase Offset in Xilinx DDS Core
    88748: 05/08/27: AdamS: Re: Phase Offset in Xilinx DDS Core
88739: 05/08/26: GMM50: Altera NIOS in a Cyclone
88742: 05/08/26: Matthew Plante: ISE 7.1 and DCM clkfx
    88752: 05/08/27: Marc Randolph: Re: ISE 7.1 and DCM clkfx
88744: 05/08/27: Rob: SERDES
    88753: 05/08/27: Marc Randolph: Re: SERDES
        88839: 05/08/30: Rob: Re: SERDES
88745: 05/08/27: <abgoyal@gmail.com>: infering a BRAM block for a dual ported ROM
    88750: 05/08/27: Marc Randolph: Re: infering a BRAM block for a dual ported ROM
        88762: 05/08/27: Mike Treseler: Re: infering a BRAM block for a dual ported ROM
    88843: 05/08/29: <abgoyal@gmail.com>: Re: infering a BRAM block for a dual ported ROM
    88850: 05/08/30: Marc Randolph: Re: infering a BRAM block for a dual ported ROM
88746: 05/08/27: Bubba: 36x36 signed multiplier?
    88747: 05/08/27: Thomas Entner: Re: 36x36 signed multiplier?
        88751: 05/08/27: Bubba: Re: 36x36 signed multiplier?
    88749: 05/08/27: Sylvain Munaut: Re: 36x36 signed multiplier?
    88861: 05/08/30: Ray Andraka: Re: 36x36 signed multiplier?
88754: 05/08/27: learnfpga: Problem with ModelSim XE
    88756: 05/08/27: Fpga_Designer: Re: Problem with ModelSim XE
        88760: 05/08/27: Mike Treseler: Re: Problem with ModelSim XE
    88757: 05/08/27: learnfpga: Re: Problem with ModelSim XE
    88759: 05/08/27: Fpga_Designer: Re: Problem with ModelSim XE
    88761: 05/08/27: learnfpga: Re: Problem with ModelSim XE
    88763: 05/08/27: Fpga_Designer: Re: Problem with ModelSim XE
    89017: 05/09/02: G: Re: Problem with ModelSim XE
88758: 05/08/27: Marco: Mark to initialize BRAM
88764: 05/08/27: yijun_lily@yahoo.com: Should I use DCM for every FPGA design?
    88765: 05/08/27: yijun_lily@yahoo.com: Re: Should I use DCM for every FPGA design?
        88771: 05/08/28: Simon Peacock: Re: Should I use DCM for every FPGA design?
        88867: 05/08/30: Vladislav Muravin: Re: Should I use DCM for every FPGA design?
88766: 05/08/27: yijun_lily@yahoo.com: Clock skew in FPGA Xilinx?
    88770: 05/08/28: mk: Re: Clock skew in FPGA Xilinx?
    88866: 05/08/30: Vladislav Muravin: Re: Clock skew in FPGA Xilinx?
88767: 05/08/27: Xizen: connecting block ram to datapath using bidirectional lines
88768: 05/08/27: eeh: Feedback signal cancellation algorithm
88769: 05/08/27: <pinod01@sympatico.ca>: Altera Avalon Master/Slave User Defined Logic?
88774: 05/08/28: Marco: How to reduce software size?
88775: 05/08/28: Thomas Entner: mails from Aman Mediratta
    88776: 05/08/28: Falk Brunner: Re: mails from Aman Mediratta
        88777: 05/08/28: Jeff Cunningham: Re: mails from Aman Mediratta
        88778: 05/08/28: Thomas Entner: Re: mails from Aman Mediratta
        88783: 05/08/28: Marco: Re: mails from Aman Mediratta
    88779: 05/08/28: austin: Re: mails from Aman Mediratta
    88786: 05/08/28: John Adair: Re: mails from Aman Mediratta
    88790: 05/08/28: Philip Freidin: Re: mails from Aman Mediratta
    88800: 05/08/29: Hal Murray: Re: mails from Aman Mediratta
        88801: 05/08/29: Benjamin Todd: Re: mails from Aman Mediratta
88780: 05/08/28: Andrew Holme: CPLD Jitter
    88782: 05/08/28: Ken Smith: Re: CPLD Jitter
    88787: 05/08/28: Hal Murray: Re: CPLD Jitter
    88788: 05/08/28: Daniel Lang: Re: CPLD Jitter
    88793: 05/08/29: Joerg: Re: CPLD Jitter
    88795: 05/08/28: Brian Davis: Re: CPLD Jitter
    88806: 05/08/29: Andrew Holme: Re: CPLD Jitter
        88807: 05/08/29: Andrew Holme: Re: CPLD Jitter
            88809: 05/08/29: Winfield Hill: Re: CPLD Jitter
                88817: 05/08/29: Ken Smith: Re: CPLD Jitter
            88821: 05/08/29: Joerg: Re: CPLD Jitter
        88808: 05/08/29: Andrew Holme: Re: CPLD Jitter
        88833: 05/08/30: Jim Granville: Re: CPLD Jitter
    88822: 05/08/29: John_H: Re: CPLD Jitter
        88824: 05/08/29: Andrew Holme: Re: CPLD Jitter
            88827: 05/08/29: John_H: Re: CPLD Jitter
                88830: 05/08/30: Andrew Holme: Re: CPLD Jitter
            88834: 05/08/30: Joerg: Re: CPLD Jitter
    88842: 05/08/29: Mike: Re: CPLD Jitter
        88855: 05/08/30: Mike: Re: CPLD Jitter
    88877: 05/08/30: Andrew Holme: Re: CPLD Jitter
88785: 05/08/28: Marco: Question about program and memory location
88792: 05/08/28: <cpu16x1832@wmconnect.com>: Maybe a very cool FPGA a throw away idea for Xilinx
88794: 05/08/28: jai.dhar@gmail.com: Bootloading with flash-config devices
    89217: 05/09/08: <brendan.rankin@gmail.com>: Re: Bootloading with flash-config devices
88797: 05/08/28: CMOS: digilent spartan 3 kit example project
    88816: 05/08/29: Martin Schoeberl: Re: digilent spartan 3 kit example project
    88819: 05/08/29: Xizen: re:digilent spartan 3 kit example project
    88837: 05/08/30: Alex Gibson: Re: digilent spartan 3 kit example project
88798: 05/08/28: evan: Altera nios-debug via JTAG
88802: 05/08/29: sonne123: Xilinx PC4 Download Cable
88803: 05/08/29: Jens Mander: fast universal compression scheme and its implementation in VHDL
    88804: 05/08/29: Thomas Entner: Re: fast universal compression scheme and its implementation in VHDL
    88813: 05/08/29: Sylvain Munaut: Re: fast universal compression scheme and its implementation in VHDL
88810: 05/08/29: <stud_lang_jap@yahoo.com>: Monitor the internal signal of EDF using chipscope
88811: 05/08/29: <praveenkumar1979@rediffmail.com>: Checking the PCI master implemented in FPGA
88820: 05/08/29: Martin Schoeberl: JTAG conifguration via USB
88823: 05/08/29: Hw: Array of slope A/Ds in FPGA?
    88825: 05/08/29: John_H: Re: Array of slope A/Ds in FPGA?
        88826: 05/08/30: Sylvain Munaut: Re: Array of slope A/Ds in FPGA?
            88829: 05/08/29: John_H: Re: Array of slope A/Ds in FPGA?
    88828: 05/08/29: <langwadt@ieee.org>: Re: Array of slope A/Ds in FPGA?
    88836: 05/08/30: Joerg: Re: Array of slope A/Ds in FPGA?
        88844: 05/08/30: Robert Lacoste: Re: Array of slope A/Ds in FPGA?
            88865: 05/08/30: Joerg: Re: Array of slope A/Ds in FPGA?
        88868: 05/08/30: John Larkin: Re: Array of slope A/Ds in FPGA?
            88880: 05/08/30: Hw: Re: Array of slope A/Ds in FPGA?
                88883: 05/08/30: Joerg: Re: Array of slope A/Ds in FPGA?
                88886: 05/08/30: Larry Doolittle: Re: Array of slope A/Ds in FPGA?
            88882: 05/08/30: Joerg: Re: Array of slope A/Ds in FPGA?
                88889: 05/08/30: Daniel Lang: Re: Array of slope A/Ds in FPGA?
                    88924: 05/08/31: Joerg: Re: Array of slope A/Ds in FPGA?
    88838: 05/08/30: Jim Granville: Re: Array of slope A/Ds in FPGA?
    88853: 05/08/30: Kolja Sulimma: Re: Array of slope A/Ds in FPGA?
        88854: 05/08/30: austin: Re: Array of slope A/Ds in FPGA?
88831: 05/08/29: Xizen: re:beginner [ query : resources and guidance for a newbie]
88832: 05/08/29: nitins: beginner [ query : resources and guidance for a newbie]
    88878: 05/08/30: learnfpga: Re: beginner [ query : resources and guidance for a newbie]
88840: 05/08/29: CMOS: 8087 co-processor
    88860: 05/08/30: JJ: Re: 8087 co-processor
    88871: 05/08/30: Mike Treseler: Re: 8087 co-processor
        89204: 05/09/07: glen herrmannsfeldt: Re: 8087 co-processor
88841: 05/08/29: jeff murphy: openrisc, jp1 jtag debug utility
    88852: 05/08/30: Javier Castillo: Re: openrisc, jp1 jtag debug utility
        88901: 05/08/31: Javier Castillo: Re: openrisc, jp1 jtag debug utility
    88893: 05/08/30: jeff murphy: Re: openrisc, jp1 jtag debug utility
    89159: 05/09/06: jeff murphy: Re: openrisc, jp1 jtag debug utility
88847: 05/08/30: Brian C. Van Essen: Simulation problems with EDK 7.1.02i and ModelSim SE 6.1a
    88859: 05/08/30: Duane Clark: Re: Simulation problems with EDK 7.1.02i and ModelSim SE 6.1a
    88870: 05/08/30: Mike Treseler: Re: Simulation problems with EDK 7.1.02i and ModelSim SE 6.1a
        88898: 05/08/30: Brian C. Van Essen: Re: Simulation problems with EDK 7.1.02i and ModelSim SE 6.1a
            88918: 05/08/31: Duane Clark: Re: Simulation problems with EDK 7.1.02i and ModelSim SE 6.1a
            88926: 05/08/31: Mike Treseler: Re: Simulation problems with EDK 7.1.02i and ModelSim SE 6.1a
    89184: 05/09/07: Jiri Bucek: Re: Simulation problems with EDK 7.1.02i and ModelSim SE 6.1a
88849: 05/08/30: blah: Embedded Processors/Serdes
    88856: 05/08/30: Eric: Re: Embedded Processors/Serdes
        88884: 05/08/30: Ed McGettigan: Re: Embedded Processors/Serdes
        88993: 05/09/02: Petter Gustad: Re: Embedded Processors/Serdes
            89020: 05/09/02: Ed McGettigan: Re: Embedded Processors/Serdes
    88858: 05/08/30: Ed McGettigan: Re: Embedded Processors/Serdes
    88862: 05/08/30: JJ: Re: Embedded Processors/Serdes
    88876: 05/08/30: Luc: Re: Embedded Processors/Serdes
        88891: 05/08/30: Ed McGettigan: Re: Embedded Processors/Serdes
88851: 05/08/30: Alissobn Brito: Fine grain vs. Coarse Grain Architectures
    88863: 05/08/30: JJ: Re: Fine grain vs. Coarse Grain Architectures
    88872: 05/08/30: Andy Peters: Re: Fine grain vs. Coarse Grain Architectures
        88925: 05/08/31: Martin Ellis: Re: Fine grain vs. Coarse Grain Architectures
    88875: 05/08/30: Alissobn Brito: Re: Fine grain vs. Coarse Grain Architectures
    88930: 05/08/31: Mike Treseler: Re: Fine grain vs. Coarse Grain Architectures
88864: 05/08/30: jswestra77: UDP problems with Xilinx EDK 7.1
    88873: 05/08/30: jswestra77: Re: UDP problems with Xilinx EDK 7.1
88869: 05/08/30: Paul Marciano: Quick Xilinx KCPSM3 with verilog question.
88874: 05/08/30: yijun_lily@yahoo.com: Gated clock for FPGA (verilog)???
    88904: 05/08/31: Aurelian Lazarut: Re: Gated clock for FPGA (verilog)???
    88910: 05/08/31: Gabor: Re: Gated clock for FPGA (verilog)???
        88923: 05/08/31: Bob Perlman: Re: Gated clock for FPGA (verilog)???
    88919: 05/08/31: johnp: Re: Gated clock for FPGA (verilog)???
    88969: 05/09/01: Vladislav Muravin: Re: Gated clock for FPGA (verilog)???
88879: 05/08/30: Pierre de Vos: LCD Interface
    88885: 05/08/30: Sylvain Munaut: Re: LCD Interface
        88888: 05/08/31: Pierre de Vos: Re: LCD Interface
            88906: 05/08/31: Jan Panteltje: Re: LCD Interface
                88907: 05/08/31: Simon Peacock: Re: LCD Interface
    88917: 05/08/31: Marco: Re: LCD Interface
    89014: 05/09/02: Falk Brunner: Re: LCD Interface
88881: 05/08/30: Nju Njoroge: Re: EDK core wrapping and include files
88887: 05/08/31: iml: usb and xc95
    88909: 05/08/31: AdamS: Re: usb and xc95
    88946: 05/09/01: Marc Reinig: Re: usb and xc95
88890: 05/08/30: Len: Implementing PLL in Cyclone - Schematic entry
    88892: 05/08/30: Len: Re: Implementing PLL in Cyclone - Schematic entry
88896: 05/08/30: Vaughn Betz: Version 5.0 of Quartus University Interface Program (for researchers & graduate students) Released
88897: 05/08/30: Shakith: Virtex4 : Downloading error
    88899: 05/08/30: Jason Wu: Re: Virtex4 : Downloading error
88902: 05/08/31: <mhosni80@gmail.com>: Low Power RTL Design
    88905: 05/08/31: John Adair: Re: Low Power RTL Design
        88934: 05/08/31: Ray Andraka: Re: Low Power RTL Design
88903: 05/08/31: john: modular design: can one use long lines
88908: 05/08/31: Marco: Hi-Z input
    88911: 05/08/31: Gabor: Re: Hi-Z input
    88913: 05/08/31: Eric: Re: Hi-Z input
        88916: 05/08/31: Marco: Re: Hi-Z input
    88928: 05/08/31: Brad Smallridge: Re: Hi-Z input
        88929: 05/08/31: Marco: Re: Hi-Z input
            88935: 05/08/31: Brad Smallridge: Re: Hi-Z input
    88932: 05/08/31: Andy Peters: Re: Hi-Z input
        88954: 05/09/01: Marco: Re: Hi-Z input
88912: 05/08/31: peer: chipscope commands?
    88921: 05/08/31: Ed McGettigan: Re: chipscope commands?
88914: 05/08/31: AdamS: Problems on Xilinx FIR Core
88915: 05/08/31: dima2882: ZIF press-fit socket for QFP FPGA packages
    88920: 05/08/31: Philip Freidin: Re: ZIF press-fit socket for QFP FPGA packages
88922: 05/08/31: davelye: Hello A newbie to FPGA
    88927: 05/08/31: Austin Lesea: Re: Hello A newbie to FPGA
88931: 05/08/31: Mike Harrison: Spartan-3 LVDS driving TFT LCD panel..?
    88936: 05/09/01: Sylvain Munaut: Re: Spartan-3 LVDS driving TFT LCD panel..?
        88951: 05/09/01: Mike Harrison: Re: Spartan-3 LVDS driving TFT LCD panel..?
    88949: 05/08/31: Andrew Dyer: Re: Spartan-3 LVDS driving TFT LCD panel..?
        88950: 05/09/01: Mike Harrison: Re: Spartan-3 LVDS driving TFT LCD panel..?
            88988: 05/09/02: Andrew Dyer: Re: Spartan-3 LVDS driving TFT LCD panel..?
    89027: 05/09/02: John Adair: Re: Spartan-3 LVDS driving TFT LCD panel..?
88933: 05/08/31: Eric: Re: Hi-Z input
88937: 05/08/31: Brad Smallridge: Spartan 3 Serdes
    88939: 05/08/31: Mark: Re: Spartan 3 Serdes
    88940: 05/08/31: Hiding in Plain Sight: Re: Spartan 3 Serdes
    88944: 05/09/01: Rob: Re: Spartan 3 Serdes
        88981: 05/09/01: Brad Smallridge: Re: Spartan 3 Serdes
    88945: 05/08/31: Mark: Re: Spartan 3 Serdes
    88948: 05/08/31: Marc Randolph: Re: Spartan 3 Serdes
    88999: 05/09/02: Marc Randolph: Re: Spartan 3 Serdes
88938: 05/08/31: Mark: New PCI Express Group


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search