Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Sep 2003

59905: 03/09/01: Michael Spencer: Compact FIR filters with multiplier blocks?
    59963: 03/09/02: Ray Andraka: Re: Compact FIR filters with multiplier blocks?
        59990: 03/09/03: Ken: Re: Compact FIR filters with multiplier blocks?
            59997: 03/09/03: Ray Andraka: Re: Compact FIR filters with multiplier blocks?
                60003: 03/09/03: Ken: Re: Compact FIR filters with multiplier blocks?
                60216: 03/09/08: Tero Rissa: Re: Compact FIR filters with multiplier blocks?
                    60391: 03/09/11: Hong Shan Neoh: Re: Compact FIR filters with multiplier blocks?
                        60998: 03/09/26: Ken: Re: Compact FIR filters with multiplier blocks?
                61709: 03/10/09: Tero Rissa: Re: Compact FIR filters with multiplier blocks?
59906: 03/09/01: Kload: What does + synthesize to?
    59921: 03/09/01: Ralf Hildebrandt: Re: What does + synthesize to?
    59953: 03/09/02: Christian Schneider: Re: What does + synthesize to?
59907: 03/09/01: Michael: Different types of ASICs?
    59910: 03/09/01: realo: Re: Different types of ASICs?
59914: 03/09/01: Michael: Complex digital ICs visual simulation?
59916: 03/09/01: Jan Kindt: BlockRam @ 333MHz
59919: 03/09/01: John: Are there any free version uCOSII for Nios?
    59923: 03/09/01: Khim Bittle: Re: Are there any free version uCOSII for Nios?
59920: 03/09/01: Stefan Tillich: Virtex-E Select-RAM refresh rate
    59926: 03/09/01: Steve Casselman: Re: Virtex-E Select-RAM refresh rate
59925: 03/09/01: Simone Winkler: parallel port
    59938: 03/09/02: Peter Seng: Re: parallel port
    59958: 03/09/02: Chen Wei Tseng: Re: parallel port
59927: 03/09/01: jean-francois hasson: DDR capabilities of a Virtex II device
    59951: 03/09/02: Austin Lesea: Re: DDR capabilities of a Virtex II device
59930: 03/09/01: Daniel Camozzato: Partial Reconfiguration : 2 reconfig modules
    60137: 03/09/05: Daniel Camozzato: Re: Partial Reconfiguration : 2 reconfig modules
        60207: 03/09/08: Christian Haase: Re: Partial Reconfiguration : 2 reconfig modules
    60568: 03/09/16: Daniel Camozzato: Re: Partial Reconfiguration : 2 reconfig modules
        60596: 03/09/17: Christian Haase: Re: Partial Reconfiguration : 2 reconfig modules
59932: 03/09/02: Maciek: Altera Devices
    59937: 03/09/02: Martin Thompson: Re: Altera Devices
        59945: 03/09/02: Maciek: Re: Altera Devices
            59983: 03/09/03: Martin Thompson: Re: Altera Devices
    59967: 03/09/02: Subroto Datta: Re: Altera Devices
59933: 03/09/01: Kevin Neilson: Matlab: What do I need for modeling?
59936: 03/09/02: Michael: Re: Complex digital ICs visual simulation?
    59959: 03/09/02: Ad Verschueren: Re: Complex digital ICs visual simulation?
59940: 03/09/02: John T.: EDK problem!
    59944: 03/09/02: Antti Lukats: Re: EDK problem!
        59974: 03/09/03: John T.: Re: EDK problem!
            59986: 03/09/03: Rienk van der Scheer: Re: EDK problem!
            59992: 03/09/03: Antti Lukats: Re: EDK problem!
                60062: 03/09/04: Sathya Thammanur: Re: EDK problem!
                    60120: 03/09/05: John T.: Re: EDK problem!
                        60139: 03/09/05: <user@domain.invalid>: Re: EDK problem!
                        60149: 03/09/05: Antti Lukats: Re: EDK problem!
                            60163: 03/09/05: Steve Lass: Re: EDK problem!
59954: 03/09/02: Andrea: altera latch synthesis
    59961: 03/09/02: Andrea: Re: altera latch synthesis
    59984: 03/09/03: Martin Thompson: Re: altera latch synthesis
        59987: 03/09/03: Andrea: Re: altera latch synthesis
            60011: 03/09/03: Mike Treseler: Re: altera latch synthesis
                60167: 03/09/06: Andrea: Re: altera latch synthesis
59977: 03/09/02: Atif: Generating Asynchronous FIFO in Block Memory of Sparatn-II in CoreGen
59982: 03/09/03: Jay: OT: Block diagramming tools?
    60012: 03/09/03: Mike Treseler: Re: OT: Block diagramming tools?
    60030: 03/09/04: David R Brooks: Re: OT: Block diagramming tools?
    60035: 03/09/03: Assaf Sarfati: Re: OT: Block diagramming tools?
59985: 03/09/03: peterzhu: How to extend a pulse width without clock!
    59988: 03/09/03: Simon Peacock: Re: How to extend a pulse width without clock!
        59999: 03/09/03: Antti Lukats: Re: How to extend a pulse width without clock!
    59995: 03/09/03: Lorenzo: Re: How to extend a pulse width without clock!
    60034: 03/09/04: Jon Elson: Re: How to extend a pulse width without clock!
        60093: 03/09/04: peterzhu: Re: How to extend a pulse width without clock!
    60096: 03/09/05: Stephan Flock: Re: How to extend a pulse width without clock!
59991: 03/09/03: Fouad: Newbie CAN Core question - Student
    59998: 03/09/03: Antti Lukats: Re: Newbie CAN Core question - Student
59996: 03/09/03: Theron Hicks: Using a different editor for ISE 5
    60018: 03/09/03: Duane Clark: Re: Using a different editor for ISE 5
60010: 03/09/03: arkaitz: MICROBLAZE: user core problem
    60052: 03/09/04: Aurelian Lazarut: Re: MICROBLAZE: user core problem
60014: 03/09/03: David Lamb: ISE 5.2 constraint file problem
    60015: 03/09/03: David Lamb: Re: ISE 5.2 constraint file problem
        60020: 03/09/03: steve: Re: ISE 5.2 constraint file problem
        60033: 03/09/04: Martin Euredjian: Re: ISE 5.2 constraint file problem
    60048: 03/09/04: Thomas Oehme: Re: ISE 5.2 constraint file problem
60026: 03/09/03: Brian Fairchild: New to FPGA, seeking advice
    60042: 03/09/04: Yves Deweerdt: Re: New to FPGA, seeking advice
        60097: 03/09/05: Garrett Mace: Re: New to FPGA, seeking advice
    60044: 03/09/04: Mario Trams: Re: New to FPGA, seeking advice
        60066: 03/09/04: Glen Herrmannsfeldt: Re: New to FPGA, seeking advice
            60067: 03/09/04: Austin Lesea: Re: New to FPGA, seeking advice
                60072: 03/09/04: Glen Herrmannsfeldt: Re: New to FPGA, seeking advice
                    60076: 03/09/04: Austin Lesea: Re: New to FPGA, seeking advice
                60088: 03/09/05: Jim Granville: Re: New to FPGA, seeking advice
                    60092: 03/09/05: John Williams: Re: New to FPGA, seeking advice
            60075: 03/09/04: Ray Andraka: Re: New to FPGA, seeking advice
                60095: 03/09/05: Glen Herrmannsfeldt: Re: New to FPGA, seeking advice
                    60128: 03/09/05: Ray Andraka: Re: New to FPGA, seeking advice
    60068: 03/09/05: Ralph Mason: Re: New to FPGA, seeking advice
        60070: 03/09/04: Brian Fairchild: Re: New to FPGA, seeking advice
        60077: 03/09/04: Austin Lesea: Re: New to FPGA, seeking advice
        60079: 03/09/04: Tom Seim: Re: New to FPGA, seeking advice
            60082: 03/09/04: Austin Lesea: Re: New to FPGA, seeking advice, off topic again....
                60091: 03/09/05: Allan Herriman: Re: New to FPGA, seeking advice, off topic again....
                    60146: 03/09/05: Austin Lesea: still off topic again....
        60090: 03/09/04: john jakson: Re: New to FPGA, seeking advice
    60234: 03/09/08: Anil Khanna: Re: New to FPGA, seeking advice
60036: 03/09/03: peterzhu: How to extend a pulse width without clock in CPLD!
60039: 03/09/04: Thomas: Clock Recovery from 8B10B encoded Data Stream
    60054: 03/09/04: Austin Lesea: Re: Clock Recovery from 8B10B encoded Data Stream
60040: 03/09/04: Rgr: More EDK Problems..... :-(
    60064: 03/09/04: Sathya Thammanur: Re: More EDK Problems..... :-(
    60071: 03/09/04: Antti Lukats: Re: More EDK Problems..... :-(
60043: 03/09/04: ram: Memory
    60046: 03/09/04: Peter Rauschert: Re: Memory
    60056: 03/09/04: Steven K. Knapp: Re: Memory
        60094: 03/09/04: ram: Re: Memory
60047: 03/09/04: Luiz Carlos: More about metastability
    60050: 03/09/04: Alvin Andries: Re: More about metastability
        60055: 03/09/04: Austin Lesea: Re: More about metastability
            60081: 03/09/04: Luiz Carlos: Re: More about metastability
                60085: 03/09/04: Austin Lesea: Re: More about metastability
60051: 03/09/04: pkuanfm: question about configue apex20k with ppa scheme
    60290: 03/09/09: Greg Steinke: Re: question about configue apex20k with ppa scheme
60060: 03/09/04: walala: How to contact the writer of Xilinx FPGA application notes?
    60063: 03/09/04: Austin Lesea: Re: How to contact the writer of Xilinx FPGA application notes?
        60622: 03/09/17: Marc Baker: Re: How to contact the writer of Xilinx FPGA application notes?
60065: 03/09/04: Jay: Flex6K configuration PROM
    60099: 03/09/05: Jay: Re: Flex6K configuration PROM
        60112: 03/09/05: Daniel Lang: Re: Flex6K configuration PROM
    60100: 03/09/05: Jay: Re: Flex6K configuration PROM
        60208: 03/09/08: Martin Thompson: Re: Flex6K configuration PROM
            60225: 03/09/08: Jay: Re: Flex6K configuration PROM
    60102: 03/09/04: Antti Lukats: Re: Flex6K configuration PROM
    60104: 03/09/05: Martin Thompson: Re: Flex6K configuration PROM
    60116: 03/09/05: Deni: Re: Flex6K configuration PROM
60073: 03/09/04: master: Disable Pull up
    60101: 03/09/05: Giuseppeł: Re: Disable Pull up
        60118: 03/09/05: Master: Re: Disable Pull up
            60125: 03/09/05: Amontec Team, Laurent Gauch: Re: Disable Pull up
            60132: 03/09/05: Andrey Likholit: Re: Disable Pull up
            60138: 03/09/05: John_H: Re: Disable Pull up
                60168: 03/09/06: Lorenzo Lutti: Re: Disable Pull up
                60178: 03/09/07: Master: Re: Disable Pull up
60098: 03/09/04: Valli: Suitable FPGA architecture for Robots..
    60107: 03/09/05: Mario Trams: Re: Suitable FPGA architecture for Robots..
    60111: 03/09/05: Antti Lukats: Re: Suitable FPGA architecture for Robots..
        60272: 03/09/09: Jesse Kempa: Re: Suitable FPGA architecture for Robots..
    60150: 03/09/05: Austin Lesea: Re: Suitable FPGA architecture for Robots..
60103: 03/09/05: Jay: Re: Flex6K configuration PROM
    60209: 03/09/08: Martin Thompson: Re: Flex6K configuration PROM
60105: 03/09/05: Thomas Oehme: ISE: use verilog-modules in an vhdl-design-flow
    60115: 03/09/05: Allan Herriman: Re: ISE: use verilog-modules in an vhdl-design-flow
        60161: 03/09/05: Andrew Paule: Re: ISE: use verilog-modules in an vhdl-design-flow
    60123: 03/09/05: Nial Stewart: Re: use verilog-modules in an vhdl-design-flow
60106: 03/09/05: Jean Nicolle: Sending and receiving Ethernet traffic
    60130: 03/09/05: Jean Nicolle: Re: Sending and receiving Ethernet traffic
    60231: 03/09/08: Martin Schoeberl: Re: Sending and receiving Ethernet traffic
        60239: 03/09/09: Jean Nicolle: Re: Sending and receiving Ethernet traffic
            60245: 03/09/09: Martin Schoeberl: Re: Sending and receiving Ethernet traffic
                60248: 03/09/09: Allan Herriman: Re: Sending and receiving Ethernet traffic
                60287: 03/09/09: H. Peter Anvin: Re: Sending and receiving Ethernet traffic
60108: 03/09/05: Christos: Include design file using QuartusII
    60156: 03/09/05: Ivan: Re: Include design file using QuartusII
    60166: 03/09/06: Subroto Datta: Re: Include design file using QuartusII
60109: 03/09/05: Aart van Beuzekom: Writing a Xilnx testbench
    60133: 03/09/05: Rudolf Usselmann: Re: Writing a Xilnx testbench
    60144: 03/09/05: Andy Peters: Re: Writing a Xilnx testbench
60113: 03/09/05: Gerald Weile: Q: Xilinx PROM file generation
    60131: 03/09/05: Antti Lukats: Re: Q: Xilinx PROM file generation
60114: 03/09/05: Nagaraj: Filter Output Quantization in Digital Down Converter
60117: 03/09/05: Ken: 200MHz ucf constraints for Xilinx DA Decimation by 2
    60122: 03/09/05: Alvin Andries: Re: 200MHz ucf constraints for Xilinx DA Decimation by 2
        60127: 03/09/05: Ken: Re: 200MHz ucf constraints for Xilinx DA Decimation by 2
    60333: 03/09/10: PO Laprise: Re: 200MHz ucf constraints for Xilinx DA Decimation by 2
60119: 03/09/05: John K.: Schematic simulation and then FPGA programming?
    60121: 03/09/05: marlboro: Re: Schematic simulation and then FPGA programming?
    60126: 03/09/05: David Brown: Re: Schematic simulation and then FPGA programming?
    60143: 03/09/05: Ad Verschueren: Re: Schematic simulation and then FPGA programming?
    60145: 03/09/05: Mike Treseler: Re: Schematic simulation and then FPGA programming?
    60151: 03/09/05: Antti Lukats: Re: Schematic simulation and then FPGA programming?
    60159: 03/09/05: Jon Elson: Re: Schematic simulation and then FPGA programming?
    60162: 03/09/05: Patrick MacGregor: Re: Schematic simulation and then FPGA programming?
        60175: 03/09/06: Ray Andraka: Re: Schematic simulation and then FPGA programming?
60134: 03/09/05: jjl: Low-cost FPGA Development Board with built-in Computer core
    60182: 03/09/07: hamilton: Re: Low-cost FPGA Development Board with built-in Computer core
        60220: 03/09/08: jjl: Re: Low-cost FPGA Development Board with built-in Computer core
60136: 03/09/05: jean-francois hasson: Automatic signal fanout management in an FPGA (Xilinx type in this case)
60140: 03/09/05: Jon Elson: Original (5V) Xilinx Spartan ?
    60141: 03/09/05: Neeraj Varma: Re: Original (5V) Xilinx Spartan ?
    60152: 03/09/05: Austin Lesea: Re: Original (5V) Xilinx Spartan ?
        60158: 03/09/05: Jon Elson: Re: Original (5V) Xilinx Spartan ?
            60623: 03/09/17: Marc Baker: Re: Original (5V) Xilinx Spartan ?
        60172: 03/09/06: rickman: Re: Original (5V) Xilinx Spartan ?
            60227: 03/09/08: Austin Lesea: Re: Original (5V) Xilinx Spartan ?
                60230: 03/09/08: Peter Alfke: Re: Original (5V) Xilinx Spartan ?
                    60232: 03/09/08: rickman: Re: Original (5V) Xilinx Spartan ?
                        60266: 03/09/09: Luiz Carlos: Re: Original (5V) Xilinx Spartan ?
                        60337: 03/09/10: Peter Alfke: Re: Original (5V) Xilinx Spartan ?
                            60341: 03/09/10: Pete Fraser: Re: Original (5V) Xilinx Spartan ?
                                60347: 03/09/10: rickman: Re: Original (5V) Xilinx Spartan ?
                    60268: 03/09/09: Luiz Carlos: Re: Original (5V) Xilinx Spartan ?
                        60271: 03/09/09: Tim: Re: Original (5V) Xilinx Spartan ?
                            60273: 03/09/09: Austin Lesea: opinions are OK
                                60276: 03/09/09: Tim: Re: opinions are OK
                                60279: 03/09/09: Nicholas C. Weaver: Re: opinions are OK
                                    60529: 03/09/16: Hal Murray: Re: opinions are OK
                                60288: 03/09/10: Jim Granville: Re: opinions are OK
                                    60289: 03/09/09: Peter Alfke: Re: opinions are OK
                                        60331: 03/09/11: Jim Granville: Re: Metatstable Modeling
                                            60346: 03/09/10: rickman: Re: Metatstable Modeling
                                                60351: 03/09/11: Jim Granville: Re: Metatstable Modeling
                                                60364: 03/09/11: Peter Alfke: Re: Metatstable Modeling
                                                    60372: 03/09/11: Peter Alfke: Re: Metatstable Modeling
                                                        60376: 03/09/12: Jim Granville: Re: Metatstable Modeling
                                                            60382: 03/09/11: Peter Alfke: Re: Metatstable Modeling
                                                                60397: 03/09/12: rickman: Re: Metatstable Modeling
                                                                    60401: 03/09/12: Jim Granville: Re: Metatstable Modeling
                                                            60426: 03/09/12: John_H: Re: Metatstable Modeling
                                60292: 03/09/10: Allan Herriman: Re: opinions are OK
                                60306: 03/09/10: Luiz Carlos: Re: opinions are OK
                                60598: 03/09/17: rob d: Re: opinions are OK
                                    60604: 03/09/17: rickman: Re: opinions are OK
                                        60686: 03/09/19: rob d: Re: opinions are OK
                                            60725: 03/09/20: Jim Granville: Re: opinions are OK
                                                60748: 03/09/21: rob d: Re: opinions are OK
                                    60633: 03/09/18: Jim Granville: Re: opinions are OK
                        60278: 03/09/09: Peter Alfke: Re: Original (5V) Xilinx Spartan ?
                            60302: 03/09/10: Luiz Carlos: Re: Original (5V) Xilinx Spartan ?
                                60304: 03/09/10: Luiz Carlos: Re: Original (5V) Xilinx Spartan ?
                                60314: 03/09/10: Peter Alfke: Re: Original (5V) Xilinx Spartan ?
                                    60518: 03/09/15: Ray Andraka: Re: Original (5V) Xilinx Spartan ?
                                        60527: 03/09/16: Jim Granville: Re: Original (5V) Xilinx Spartan ? ( Philip ? )
                                        60552: 03/09/16: Peter Alfke: Re: Original (5V) Xilinx Spartan ?
    60157: 03/09/05: Leon Heller: Re: Original (5V) Xilinx Spartan ?
    60165: 03/09/05: Andrew Paule: Re: Original (5V) Xilinx Spartan ?
    60203: 03/09/08: Steven K. Knapp: Re: Original (5V) Xilinx Spartan ?
60147: 03/09/05: John K.: Re: Schematic simulation and then FPGA programming?
    60160: 03/09/05: Jon Elson: Re: Schematic simulation and then FPGA programming?
    60177: 03/09/06: Tom Hawkins: Re: Schematic simulation and then FPGA programming?
60148: 03/09/05: Simone Winkler: switching problem
    60153: 03/09/05: Eric Crabill: Re: Switching problem
    60199: 03/09/08: John Retta: Re: switching problem
60169: 03/09/06: Giovanni Ferrante: Cpu Generator rel.1.00 released
    60170: 03/09/06: Lorenzo Lutti: Re: Cpu Generator rel.1.00 released
60173: 03/09/06: Abby: VGA display
    60174: 03/09/06: cfk: Re: VGA display
        60179: 03/09/07: Abby: Re: VGA display
            60221: 03/09/08: jjl: Re: VGA display
                60250: 03/09/09: Jan Kindt: Re: VGA display
                    60301: 03/09/10: Abby: Re: VGA display
                60299: 03/09/10: Abby: Re: VGA display
    60291: 03/09/10: Jean Nicolle: Re: VGA display
        60300: 03/09/10: Abby: Re: VGA display
    60332: 03/09/10: PO Laprise: Re: VGA display
60176: 03/09/06: Jerry: Stratix pricing
60180: 03/09/07: GB: CMOS camera w/ USB2 -- crazy?
    60181: 03/09/07: rickman: Re: CMOS camera w/ USB2 -- crazy?
        60187: 03/09/07: GB: Re: CMOS camera w/ USB2 -- crazy?
            60190: 03/09/07: Mario Trams: Re: CMOS camera w/ USB2 -- crazy?
            60196: 03/09/08: Jim Granville: Re: CMOS camera w/ USB2 -- crazy?
            60217: 03/09/08: Magnus Homann: Re: CMOS camera w/ USB2 -- crazy?
            60264: 03/09/09: Jonathan Bromley: Re: CMOS camera w/ USB2 -- crazy?
    60184: 03/09/07: hamilton: Re: CMOS camera w/ USB2 -- crazy?
        60186: 03/09/07: GB: Re: CMOS camera w/ USB2 -- crazy?
            60200: 03/09/07: john jakson: Re: CMOS camera w/ USB2 -- crazy?
                60240: 03/09/09: Sander Vesik: Re: CMOS camera w/ USB2 -- crazy?
                    60254: 03/09/09: Neil Franklin: Re: CMOS camera w/ USB2 -- crazy?
                    60319: 03/09/10: james: Re: CMOS camera w/ USB2 -- crazy?
    60185: 03/09/07: Phil Hays: Re: CMOS camera w/ USB2 -- crazy?
    60189: 03/09/07: John: Re: CMOS camera w/ USB2 -- crazy?
    60197: 03/09/08: Khim Bittle: Re: CMOS camera w/ USB2 -- crazy?
    60322: 03/09/10: james: Re: CMOS camera w/ USB2 -- crazy?
        60344: 03/09/10: Andrew Paule: Re: CMOS camera w/ USB2 -- crazy?
            60359: 03/09/11: james: Re: CMOS camera w/ USB2 -- crazy?
                60367: 03/09/11: Andrew Paule: Re: CMOS camera w/ USB2 -- crazy?
                    60388: 03/09/12: james: Re: CMOS camera w/ USB2 -- crazy?
            60374: 03/09/11: Neil Franklin: Re: CMOS camera w/ USB2 -- crazy?
                60387: 03/09/11: Andrew Paule: Re: CMOS camera w/ USB2 -- crazy?
    60386: 03/09/11: lichau: Re: CMOS camera w/ USB2 -- crazy?
    60418: 03/09/12: Manfred Kraus: Re: CMOS camera w/ USB2 -- crazy?
60183: 03/09/07: rider: Spartan 2 xc2s150
    60193: 03/09/07: Uwe Bonnes: Re: Spartan 2 xc2s150
        60201: 03/09/07: rider: Re: Spartan 2 xc2s150
60188: 03/09/07: Theron Hicks: Spartan3 multiplier
    60194: 03/09/07: Uwe Bonnes: Re: Spartan3 multiplier
    60205: 03/09/08: Steven K. Knapp: Re: Spartan3 multiplier
60191: 03/09/07: John K.: Re: Schematic simulation and then FPGA programming?
    60242: 03/09/08: Patrick MacGregor: Re: Schematic simulation and then FPGA programming?
60192: 03/09/07: Peter Scheuter: PIC Programming Help
    60195: 03/09/07: Matt: Re: PIC Programming Help
    60204: 03/09/08: Steven K. Knapp: Re: PIC Programming Help
    60210: 03/09/08: Leon Heller: Re: PIC Programming Help
    60224: 03/09/08: Erik Spaenig: Re: PIC Programming Help
60198: 03/09/07: J-Wing: system simulation and verification methods (NIOS)
    60274: 03/09/09: Jesse Kempa: Re: system simulation and verification methods (NIOS)
60202: 03/09/08: bobi: FPGA start?
    60206: 03/09/08: Garrett Mace: Re: FPGA start?
    60215: 03/09/08: Antti Lukats: Re: FPGA start?
    60354: 03/09/11: Ben Popoola: Re: FPGA start?
    60513: 03/09/15: Ray Andraka: Re: FPGA start?
60211: 03/09/08: clsan: mouse to Nios Development kit
    60237: 03/09/08: H. Peter Anvin: Re: mouse to Nios Development kit
        60296: 03/09/10: clsan: Re: mouse to Nios Development kit
            60370: 03/09/11: H. Peter Anvin: Re: mouse to Nios Development kit
                60636: 03/09/18: clsan: Re: mouse to Nios Development kit
                    60647: 03/09/18: Jesse Kempa: Re: mouse to Nios Development kit
                        60653: 03/09/18: H. Peter Anvin: Re: mouse to Nios Development kit
                            60691: 03/09/19: Jesse Kempa: Re: mouse to Nios Development kit
                        60676: 03/09/19: clsan: Re: mouse to Nios Development kit
60212: 03/09/08: Martin Euredjian: Impact error
    60226: 03/09/08: Amontec Team, Laurent Gauch: Re: Impact error
        60228: 03/09/08: Martin Euredjian: Re: Impact error
    60233: 03/09/08: Petter Gustad: Re: Impact error
        60246: 03/09/09: Martin Euredjian: Re: Impact error
            60267: 03/09/09: Petter Gustad: Re: Impact error
        60285: 03/09/09: Jan Panteltje: Re: Impact error
60213: 03/09/08: =?ISO-8859-1?Q?Daniel_K=F6the?=: IP-Core CAN-Controller
    60219: 03/09/08: Antti Lukats: Re: IP-Core CAN-Controller
60214: 03/09/08: Ram: FPGA: Interfacing external NVRAM
    60877: 03/09/24: Christos: Re: Interfacing external NVRAM
        60885: 03/09/24: Hal Murray: Re: Interfacing external NVRAM
60218: 03/09/08: lecroy: Xilinx S3 I/O robustness question
    60229: 03/09/08: Steven K. Knapp: Re: Xilinx S3 I/O robustness question
        60258: 03/09/09: lecroy: Re: Xilinx S3 I/O robustness question
            60261: 03/09/09: Austin Lesea: Re: Xilinx S3 I/O robustness question
                60423: 03/09/12: lecroy: Re: Xilinx S3 I/O robustness question
                    60436: 03/09/12: Peter Alfke: Re: Xilinx S3 I/O robustness question
                    60437: 03/09/12: lecroy: Re: Xilinx S3 I/O robustness question
                        60440: 03/09/12: Peter Alfke: Re: Xilinx S3 I/O robustness question
                            60474: 03/09/14: Hal Murray: Re: Xilinx S3 I/O robustness question
                                60495: 03/09/15: lecroy: Re: Xilinx S3 I/O robustness question
                                    60497: 03/09/15: Magnus Homann: Re: Xilinx S3 I/O robustness question
                                        60500: 03/09/15: Eric Crabill: Re: Xilinx S3 I/O robustness question
                                            60528: 03/09/16: Hal Murray: Re: Xilinx S3 I/O robustness question
                            60493: 03/09/15: lecroy: Re: Xilinx S3 I/O robustness question
                                60770: 03/09/22: lecroy: Re: Xilinx S3 I/O robustness question
                                    60771: 03/09/22: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                        61239: 03/09/30: lecroy: Re: Xilinx S3 I/O robustness question
                                            61243: 03/09/30: Austin Lesea: Ask the hotline, you may be surprised and pleased
                                                61245: 03/10/01: Tim: Re: Ask the hotline, you may be surprised and pleased
                                                    61285: 03/10/01: Austin Lesea: Re: Ask the hotline, you may be surprised and pleased
                                                        61319: 03/10/02: Jim Granville: Re: Ask the hotline, you may be surprised and pleased
                                                            61322: 03/10/01: Austin Lesea: Re: Ask the hotline, you may be surprised and pleased
                                                                61411: 03/10/03: Jim Granville: Re: Ask the hotline, you may be surprised and pleased
                                                61294: 03/10/01: rickman: Re: Ask the hotline, you may be surprised and pleased
                                                    61296: 03/10/01: Austin Lesea: Re: Ask the hotline, you may be surprised and pleased
                                                        61301: 03/10/01: rickman: Re: Ask the hotline, you may be surprised and pleased (but not likely)
                                            61293: 03/10/01: rickman: Re: Xilinx S3 I/O robustness question
                                                61515: 03/10/06: lecroy: Re: Xilinx S3 I/O robustness question
                                                    61845: 03/10/14: lecroy: Re: Xilinx S3 I/O robustness question
                                                        61849: 03/10/14: rickman: Re: Xilinx S3 I/O robustness question
                                                            61858: 03/10/14: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                                                61859: 03/10/14: rickman: Re: Xilinx S3 I/O robustness question
                                                                    62935: 03/11/11: lecroy: Re: Xilinx S3 I/O robustness question
                                                                        62937: 03/11/11: Austin Lesea: Re: Xilinx S3 I/O robustness question
                            60789: 03/09/22: Symon: Re: Xilinx S3 I/O robustness question
                                60791: 03/09/22: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                    60804: 03/09/22: Symon: Re: Xilinx S3 I/O robustness question
                                        60825: 03/09/23: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                60806: 03/09/23: Hal Murray: Re: Xilinx S3 I/O robustness question
                                    60826: 03/09/23: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                        60827: 03/09/23: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                        60836: 03/09/23: rickman: Re: Xilinx S3 I/O robustness question
                                            60838: 03/09/23: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                    60837: 03/09/23: rickman: Re: Xilinx S3 I/O robustness question
                                        60844: 03/09/23: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                        60861: 03/09/23: Symon: Re: Xilinx S3 I/O robustness question
                                            60867: 03/09/23: rickman: Re: Xilinx S3 I/O robustness question
                                                60883: 03/09/24: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                                    60894: 03/09/24: John_H: Re: Xilinx S3 I/O robustness question
                                                        60898: 03/09/24: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                                    60920: 03/09/24: rickman: Re: Xilinx S3 I/O robustness question
                                                        60942: 03/09/25: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                                            60969: 03/09/25: rickman: Re: Xilinx S3 I/O robustness question
                                                                60989: 03/09/26: Simon Peacock: Re: Xilinx S3 I/O robustness question
                                                                61007: 03/09/26: Austin Lesea: Re: Xilinx S3 I/O robustness question
                                                60889: 03/09/24: Symon: Re: Xilinx S3 I/O robustness question
                                                    60919: 03/09/24: rickman: Re: Xilinx S3 I/O robustness question
                                                        60944: 03/09/25: Austin Lesea: Re: Xilinx S3 I/O robustness: is that your final answer?
                                                            60970: 03/09/25: rickman: Re: Xilinx S3 I/O robustness: is that your final answer?
                                                        61035: 03/09/26: Symon: Re: Xilinx S3 I/O robustness question
                                                        61043: 03/09/26: Andy Peters: Re: Xilinx S3 I/O robustness question
                                                            61073: 03/09/27: rickman: Re: Xilinx S3 I/O robustness question
                                                                61112: 03/09/29: Allan Herriman: Re: Xilinx S3 I/O robustness question
                                                                61167: 03/09/29: Symon: Re: Xilinx S3 I/O robustness question
                                                                    61242: 03/09/30: lecroy: Re: Xilinx S3 I/O robustness question
                                                                61238: 03/09/30: Andy Peters: Re: Xilinx S3 I/O robustness question
60222: 03/09/08: Antti Lukats: Xilinx Platform Configuration, really cool devices (and avaialble!)
60223: 03/09/08: Isaac: Clock Synchronization of PC and FPGA
    60251: 03/09/09: Technology Consultant: Re: Clock Synchronization of PC and FPGA
        60259: 03/09/09: Isaac: Re: Clock Synchronization of PC and FPGA
            60298: 03/09/10: Technology Consultant: Re: Clock Synchronization of PC and FPGA
    60257: 03/09/09: Peter Rauschert: Re: Clock Synchronization of PC and FPGA
60235: 03/09/08: Bhanu Nagendra P.: microblaze on XSV800
    60236: 03/09/08: Austin Lesea: Re: microblaze on XSV800
60238: 03/09/08: PanJuHwa: Targetting RC1000 with Mediabench JPEG Application
60241: 03/09/08: James: Programming Xilinx CPLD under linux
    60243: 03/09/09: leon qin: Re: Programming Xilinx CPLD under linux
        60249: 03/09/09: leon qin: Re: Programming Xilinx CPLD under linux
            60256: 03/09/09: leon qin: Re: Programming Xilinx CPLD under linux
            60263: 03/09/09: Steve Lass: Re: Programming Xilinx CPLD under linux
    60244: 03/09/09: James Fitzsimons: Re: Programming Xilinx CPLD under linux
    60252: 03/09/09: James Fitzsimons: Re: Programming Xilinx CPLD under linux
    60253: 03/09/09: Uwe Bonnes: Re: Programming Xilinx CPLD under linux
    60281: 03/09/09: Amontec Team, Laurent Gauch: Re: Programming Xilinx CPLD under linux
60247: 03/09/09: John McMiller: frequency constraint changes routability
    60260: 03/09/09: Brian Drummond: Re: frequency constraint changes routability
    60438: 03/09/12: Andrew Paule: Re: frequency constraint changes routability
60255: 03/09/09: Jon Masters: Virtex II Pro Linux
    60559: 03/09/16: Shamile: Re: Virtex II Pro Linux
        60627: 03/09/17: Peter Ryser: Re: Virtex II Pro Linux
    61808: 03/10/12: hyding: Re: Virtex II Pro Linux
60262: 03/09/09: MACEI'S: AWGN in VHDL
    60270: 03/09/09: MPJB: Re: AWGN in VHDL
        60294: 03/09/09: jaideep: Re: AWGN in VHDL
    60708: 03/09/19: Ray Andraka: Re: AWGN in VHDL
    61601: 03/10/07: Ed Hemphill: Re: AWGN in VHDL
60265: 03/09/09: ykagarwal: pipelined divider
    60275: 03/09/09: Glen Herrmannsfeldt: Re: pipelined divider
        60295: 03/09/09: ykagarwal: Re: pipelined divider
            60317: 03/09/10: Steve Casselman: Re: pipelined divider
            60327: 03/09/10: Glen Herrmannsfeldt: Re: pipelined divider
                60356: 03/09/11: ykagarwal: Re: pipelined divider
                    60371: 03/09/11: Tom Seim: Re: pipelined divider
                        60405: 03/09/11: ykagarwal: Re: pipelined divider
                            60410: 03/09/12: Glen Herrmannsfeldt: Re: pipelined divider
                                60454: 03/09/13: ykagarwal: Re: pipelined divider
                                60720: 03/09/19: Ray Andraka: Re: pipelined divider
                                    60734: 03/09/20: ykagarwal: Re: pipelined divider
                                    60736: 03/09/20: Jake Janovetz: Re: pipelined divider
                                        60742: 03/09/21: Simon Peacock: Re: pipelined divider
                                            60760: 03/09/22: ykagarwal: Re: pipelined divider
                                                61165: 03/09/29: Ray Andraka: Re: pipelined divider
                    60394: 03/09/12: Glen Herrmannsfeldt: Re: pipelined divider
60269: 03/09/09: Terry Andersen: EMAC in EDK...
    60407: 03/09/12: Erik Hansen: Re: EMAC in EDK...
        60412: 03/09/12: Terry Andersen: Re: EMAC in EDK...
60277: 03/09/09: paraag: ERROR:Pack:679 - Unable to obey design constraints ....can anyone help
60282: 03/09/09: Alan Nishioka: Xilinx clk to out variation
    60311: 03/09/10: John Providenza: Re: Xilinx clk to out variation
60283: 03/09/09: Ray: Power-on slope :Spartan IIE
    60286: 03/09/09: Peter Alfke: Re: Power-on slope :Spartan IIE
60293: 03/09/09: Kirill 'Big K' Katsnelson: Spartan-3 3S50 in Web ISE 5.2i = no block RAM, no multiplier?
    60297: 03/09/10: Uwe Bonnes: Re: Spartan-3 3S50 in Web ISE 5.2i = no block RAM, no multiplier?
    60307: 03/09/10: Steven K. Knapp: Re: Spartan-3 3S50 in Web ISE 5.2i = no block RAM, no multiplier?
        60340: 03/09/10: Eric Smith: Re: Spartan-3 3S50 in Web ISE 5.2i = no block RAM, no multiplier?
            60366: 03/09/11: Steven K. Knapp: Re: Spartan-3 3S50 in Web ISE 5.2i = no block RAM, no multiplier?
                60373: 03/09/11: rickman: Re: Spartan-3 3S50 in Web ISE 5.2i = no block RAM, no multiplier?
                    60402: 03/09/12: Neeraj Varma: Re: Spartan-3 3S50 in Web ISE 5.2i = no block RAM, no multiplier?
60303: 03/09/10: Nagaraj: Crystal Input to FPGA
    60305: 03/09/10: Jonathan Bromley: Re: Crystal Input to FPGA
    60316: 03/09/10: Peter Alfke: Re: Crystal Input to FPGA
    60335: 03/09/10: Jan Panteltje: Re: Crystal Input to FPGA
        60339: 03/09/10: Peter Alfke: Re: Crystal Input to FPGA
    60343: 03/09/11: Glen Herrmannsfeldt: Re: Crystal Input to FPGA
        60348: 03/09/10: rickman: Re: Crystal Input to FPGA
            60395: 03/09/12: Glen Herrmannsfeldt: Re: Crystal Input to FPGA
                60399: 03/09/12: rickman: Re: Crystal Input to FPGA
            60432: 03/09/12: Brian Drummond: Re: Crystal Input to FPGA
                60441: 03/09/13: Hal Murray: Re: Crystal Input to FPGA
                60443: 03/09/12: Daniel Lang: Re: Crystal Input to FPGA
    60706: 03/09/19: Ray Andraka: Re: Crystal Input to FPGA
60308: 03/09/10: etrac: Power on problems
    60312: 03/09/10: Austin Lesea: Re: Power on problems
        60489: 03/09/15: etrac: Re: Power on problems
            61933: 03/10/15: etrac: Re: Power on problems
                61969: 03/10/16: Jim Granville: Re: Power on problems
                    62126: 03/10/20: etrac: Re: Power on problems
                        62132: 03/10/20: rickman: Re: Power on problems
                            63123: 03/11/15: etrac: Re: Power on problems
                                63167: 03/11/17: Austin Lesea: Re: Power on problems
                                63176: 03/11/17: rickman: Re: Power on problems
60309: 03/09/10: J-Wing: simulating memory models in sopc builder
    60318: 03/09/10: Nial Stewart: Re: simulating memory models in sopc builder
60310: 03/09/10: Tom Hawkins: Embedded/Microcontroller FPGA and Software Defined Radio
    60324: 03/09/10: Antti Lukats: Re: Embedded/Microcontroller FPGA and Software Defined Radio
    60329: 03/09/10: rickman: Re: Embedded/Microcontroller FPGA and Software Defined Radio
    60334: 03/09/11: Jim Granville: Re: Embedded/Microcontroller FPGA and Software Defined Radio
    60424: 03/09/13: Alex Gibson: Re: Embedded/Microcontroller FPGA and Software Defined Radio
        60457: 03/09/13: Antti Lukats: Re: Embedded/Microcontroller FPGA and Software Defined Radio
            60458: 03/09/13: rickman: Re: Embedded/Microcontroller FPGA and Software Defined Radio
                60471: 03/09/14: Antti Lukats: Re: Embedded/Microcontroller FPGA and Software Defined Radio
    61164: 03/09/29: Ray Andraka: Re: Embedded/Microcontroller FPGA and Software Defined Radio
60313: 03/09/10: Terry Andersen: DDR in EDK 3.2sp2...
    60381: 03/09/12: John Williams: Re: DDR in EDK 3.2sp2...
60315: 03/09/10: Tim: ABEL help needed
    60325: 03/09/10: Bertram Geiger: Re: ABEL help needed
60321: 03/09/10: Eduard Nikke: LVDS in cyclone
    60649: 03/09/18: Vaughn Betz: Re: LVDS in cyclone
60323: 03/09/10: Wade D. Peterson: Silicore adopts open source business model for semiconductor IP; releases SLC1657 uP core under LGPL license
60326: 03/09/10: Greg Steinke: Online Troubleshooters
60328: 03/09/10: John Providenza: What clock domain is a Xilinx DCM LOCK signal in?
    60336: 03/09/10: Steven K. Knapp: Re: What clock domain is a Xilinx DCM LOCK signal in?
        60385: 03/09/11: John Providenza: Re: What clock domain is a Xilinx DCM LOCK signal in?
            60429: 03/09/12: Steven K. Knapp: Re: What clock domain is a Xilinx DCM LOCK signal in?
60330: 03/09/10: Lorenzo Lutti: Duty cycle constraints and internal pulse shaping
60338: 03/09/10: juice28: Newbee question? Schematic entry
    60345: 03/09/10: Andrew Paule: Re: Newbee question? Schematic entry
        60350: 03/09/11: juice28: Re: Newbee question? Schematic entry
60349: 03/09/10: Nachiket Kapre: test signals for testing of leaf level entities in a design
60352: 03/09/10: Eyal Shachrai: xilinx ace ibis
60353: 03/09/11: Dave: Webpack Vs. ISE
    60390: 03/09/11: Clyde R. Shappee: Re: Webpack Vs. ISE
        60417: 03/09/12: Nial Stewart: Re: Webpack Vs. ISE
            60473: 03/09/14: Clyde R. Shappee: Re: Webpack Vs. ISE
                60486: 03/09/15: Nial Stewart: Re: Webpack Vs. ISE
                    60613: 03/09/17: Clyde R. Shappee: Re: Webpack Vs. ISE
                        60624: 03/09/17: Uwe Bonnes: Re: Webpack Vs. ISE
                        60635: 03/09/18: Nial Stewart: Re: Webpack Vs. ISE
    60444: 03/09/13: Marc Guardiani: Re: Webpack Vs. ISE
        60446: 03/09/13: Matt: Re: Webpack Vs. ISE
            60459: 03/09/13: Marc Guardiani: Re: Webpack Vs. ISE
                60629: 03/09/17: John Providenza: Re: Webpack Vs. ISE
60355: 03/09/11: Seba: implementation error
60357: 03/09/11: Nagaraj: Time Killing Post P&R Simulation
    60358: 03/09/11: Bob Perlman: Re: Time Killing Post P&R Simulation
        60368: 03/09/11: Mike Treseler: Re: Time Killing Post P&R Simulation
            60433: 03/09/12: Brian Drummond: Re: Time Killing Post P&R Simulation
                60435: 03/09/12: Mike Treseler: Re: Time Killing Post P&R Simulation
        60392: 03/09/11: Stephen Williams: Re: Time Killing Post P&R Simulation
            60398: 03/09/12: rickman: Re: Time Killing Post P&R Simulation
60360: 03/09/11: mur KSpi: Reading and processing input from graphics cards (DVI)?
    60378: 03/09/11: Lis Hu: Re: Reading and processing input from graphics cards (DVI)?
        60413: 03/09/12: Martin Thompson: Re: Reading and processing input from graphics cards (DVI)?
60361: 03/09/11: Mario Trams: Xilinx-gdb Sources publicly available?
    60379: 03/09/12: John Williams: Re: Xilinx-gdb Sources publicly available?
        60380: 03/09/12: John Williams: Re: Xilinx-gdb Sources publicly available?
        60408: 03/09/12: Mario Trams: Re: Xilinx-gdb Sources publicly available?
    60383: 03/09/11: Kenneth Land: Re: Xilinx-gdb Sources publicly available?
        60389: 03/09/12: John Williams: Re: Xilinx-gdb Sources publicly available?
        60579: 03/09/16: Steve Casselman: Re: Xilinx-gdb Sources publicly available?
60362: 03/09/11: enq_semi: Altera's Quartus II "smart compilation" feature killed my design?
    60369: 03/09/11: H. Peter Anvin: Re: Altera's Quartus II "smart compilation" feature killed my design?
        60419: 03/09/12: enq_semi: Re: Altera's Quartus II "smart compilation" feature killed my design?
            60434: 03/09/12: Mike Treseler: Re: Altera's Quartus II "smart compilation" feature killed my design?
                60542: 03/09/16: enq_semi: Re: Altera's Quartus II "smart compilation" feature killed my design?
            60449: 03/09/13: H. Peter Anvin: Re: Altera's Quartus II "smart compilation" feature killed my design?
                60541: 03/09/16: enq_semi: Re: Altera's Quartus II "smart compilation" feature killed my design?
    60439: 03/09/12: Ben Twijnstra: Re: Altera's Quartus II "smart compilation" feature killed my design?
        60543: 03/09/16: enq_semi: Re: Altera's Quartus II "smart compilation" feature killed my design?
    60482: 03/09/14: Vaughn Betz: Re: Altera's Quartus II "smart compilation" feature killed my design?
        60547: 03/09/16: enq_semi: Re: Altera's Quartus II "smart compilation" feature killed my design?
            60645: 03/09/18: Vaughn Betz: Re: Altera's Quartus II "smart compilation" feature killed my design?
                60700: 03/09/19: enq_semi: Re: Altera's Quartus II "smart compilation" feature killed my design?
60363: 03/09/11: Thomas Womack: Re: The real history of computer architecture: the short form
    60365: 03/09/11: Nicholas C. Weaver: Re: The real history of computer architecture: the short form
60375: 03/09/11: Pete Fraser: Paging Peter Alfke (3S1000 pricing)
    60377: 03/09/11: Peter Alfke: Re: Paging Peter Alfke (3S1000 pricing)
        60510: 03/09/15: Peter Alfke: Re: Paging Peter Alfke (3S1000 pricing)
    60396: 03/09/11: Marc Randolph: Re: Paging Peter Alfke (3S1000 pricing)
        60400: 03/09/12: rickman: Re: Paging Peter Alfke (3S1000 pricing)
60384: 03/09/11: Peter Monta: Xilinx 6.1i on Red Hat 9
    60393: 03/09/11: Stephen Williams: Re: Xilinx 6.1i on Red Hat 9
        60465: 03/09/13: Peter Monta: Re: Xilinx 6.1i on Red Hat 9
60403: 03/09/11: xfpgas: FPGA Reconfiguration Question
    60428: 03/09/12: xfpgas: Re: FPGA Reconfiguration Question
        60431: 03/09/12: Steve Casselman: Re: FPGA Reconfiguration Question
    60430: 03/09/12: Steven K. Knapp: Re: FPGA Reconfiguration Question
60406: 03/09/12: Arthur Sharp: DCM not locking in XC2V4000
    60409: 03/09/12: Jerzy Gbur: Re: DCM not locking in XC2V4000
        60481: 03/09/15: Arthur Sharp: Re: DCM not locking in XC2V4000
            60491: 03/09/15: Jerzy Gbur: Re: DCM not locking in XC2V4000
60411: 03/09/12: Terry Andersen: Error when downloading with EDK
    60414: 03/09/12: Aurelian Lazarut: Re: Error when downloading with EDK
    60422: 03/09/12: Antti Lukats: Re: Error when downloading with EDK
        60425: 03/09/12: Aurelian Lazarut: Re: Error when downloading with EDK
            60462: 03/09/13: Antti Lukats: Re: Error when downloading with EDK
60415: 03/09/12: Srikanth Anumalla: Newbie
    60451: 03/09/13: Ben Popoola: Re: Leox
    60467: 03/09/14: Roger Larsson: Re: Newbie
60416: 03/09/12: rider: What are Pull ups?
    60445: 03/09/13: Marc Guardiani: Re: What are Pull ups?
    60506: 03/09/15: Chen Wei Tseng: Re: What are Pull ups?
60420: 03/09/12: Arnaldo Oliveira: Transistor count
    60721: 03/09/19: Ray Andraka: Re: Transistor count
        60723: 03/09/19: Peter Alfke: Re: Transistor count
            60729: 03/09/20: Simon Peacock: Re: Transistor count
                60769: 03/09/22: Austin Lesea: Re: Transistor count
                    60794: 03/09/22: Glen Herrmannsfeldt: Re: Transistor count
                        60824: 03/09/23: rickman: Re: Transistor count
                    60820: 03/09/23: Simon Peacock: Re: Transistor count
                60774: 03/09/22: Peter Alfke: Re: Transistor count
                60783: 03/09/22: Hal Murray: Re: Transistor count
                    60784: 03/09/22: Austin Lesea: Re: Transistor count
    156803: 14/07/03: <kangsotheara@gmail.com>: Re: Transistor count
        156804: 14/07/03: glen herrmannsfeldt: Re: Transistor count
        156807: 14/07/03: GaborSzakacs: Re: Transistor count
60421: 03/09/12: Arnaldo Oliveira: Foundation 3.1 to ISE 5.2
    60456: 03/09/13: td: Re: Foundation 3.1 to ISE 5.2
60427: 03/09/12: Isaac: Downloading into XCV600 FPGA using PCI
    60492: 03/09/15: Isaac: Re: Downloading into XCV600 FPGA using PCI
    60502: 03/09/15: Steve Casselman: Re: Downloading into XCV600 FPGA using PCI
60442: 03/09/12: H. Peter Anvin: Z-busses and synthesis
    60448: 03/09/13: Jon Elson: Re: Z-busses and synthesis
60447: 03/09/13: Jon Elson: need help with Xilinx ISE 4.2i software
    60484: 03/09/15: Neeraj Varma: Re: need help with Xilinx ISE 4.2i software
        60503: 03/09/15: Jon Elson: Re: need help with Xilinx ISE 4.2i software
            60507: 03/09/15: rickman: Re: need help with Xilinx ISE 4.2i software
                60516: 03/09/15: Duane Clark: Re: need help with Xilinx ISE 4.2i software
                    60532: 03/09/16: Jon Elson: Re: need help with Xilinx ISE 4.2i software
60450: 03/09/13: rider: ATLV256 for Spartan 2
    60461: 03/09/13: Antti Lukats: Re: ATLV256 for Spartan 2
60452: 03/09/13: Valentin Tihomirov: Reconfiguration standards
    60463: 03/09/13: Antti Lukats: Re: Reconfiguration standards
    60511: 03/09/15: Neil Glenn Jacobson: Re: Reconfiguration standards
60453: 03/09/13: Valentin Tihomirov: WebPack - mixed design flow
    60501: 03/09/15: Steve Lass: Re: WebPack - mixed design flow
60455: 03/09/13: Jan: DDC design
    60469: 03/09/14: MM: Re: DDC design
    60517: 03/09/15: Ray Andraka: Re: DDC design
60460: 03/09/13: Antti Lukats: Spartan 3 ICAP primitive
    60475: 03/09/15: John Williams: Re: Spartan 3 ICAP primitive
        60477: 03/09/15: rickman: Re: Spartan 3 ICAP primitive
            60480: 03/09/15: John Williams: Re: Spartan 3 ICAP primitive
                60523: 03/09/15: rickman: Re: Spartan 3 ICAP primitive
                    60525: 03/09/16: John Williams: Re: Spartan 3 ICAP primitive
    60479: 03/09/15: Steven K. Knapp: Re: Spartan 3 ICAP primitive
        60487: 03/09/15: Antti Lukats: Re: Spartan 3 ICAP primitive
        60650: 03/09/18: video1: Re: Spartan 3 ICAP primitive
        60692: 03/09/19: video1: Re: Spartan 3 ICAP primitive
60464: 03/09/13: scd: Looking for Atmel dataflash VHDL model
60466: 03/09/14: jb: logic from jed file
    60468: 03/09/13: Andrew Paule: Re: logic from jed file
        60470: 03/09/14: jb: Re: logic from jed file
            60476: 03/09/14: Andrew Paule: Re: logic from jed file
60472: 03/09/14: PJ: fft size in fpga
    60514: 03/09/15: Ray Andraka: Re: fft size in fpga
        60578: 03/09/16: Andyman: Re: fft size in fpga
        60584: 03/09/16: PJ: Re: fft size in fpga
            60722: 03/09/19: Ray Andraka: Re: fft size in fpga
60483: 03/09/14: H. Peter Anvin: What CPU for Quartus II?
    60494: 03/09/15: Ken Land: Re: What CPU for Quartus II?
60485: 03/09/15: John Smith: About two open source 32bit MCU
60488: 03/09/15: Amontec Team: Spartan-3 : preconfiguration pull-up/float ?
    60566: 03/09/16: Steven K. Knapp: Re: Spartan-3 : preconfiguration pull-up/float ?
60490: 03/09/15: Dr. Andy Nisbet: Xilinx Timing Constraints for Asynchronous Logic (asynch latches)
60496: 03/09/15: jakab tanko: USB transceiver for FPGA
    60498: 03/09/15: Nial Stewart: Re: USB transceiver for FPGA
        60509: 03/09/15: jakab tanko: Re: USB transceiver for FPGA
            60515: 03/09/15: Khim Bittle: Re: USB transceiver for FPGA
    60505: 03/09/15: Colin Jackson: Re: USB transceiver for FPGA
        60508: 03/09/15: jakab tanko: Re: USB transceiver for FPGA
            60550: 03/09/16: Colin Jackson: Re: USB transceiver for FPGA
    60519: 03/09/15: Kenneth Land: Re: USB transceiver for FPGA
        60534: 03/09/16: Antti Lukats: Re: USB transceiver for FPGA
            60548: 03/09/16: Ken Land: Re: USB transceiver for FPGA
                60549: 03/09/16: jakab tanko: Re: USB transceiver for FPGA
                60560: 03/09/16: Antti Lukats: Re: USB transceiver for FPGA
                60569: 03/09/16: Antti Lukats: Re: USB transceiver for FPGA
                60577: 03/09/16: John Providenza: Re: USB transceiver for FPGA
                    60580: 03/09/16: Uwe Bonnes: Re: USB transceiver for FPGA
                    60581: 03/09/16: Ken Land: Re: USB transceiver for FPGA
            60567: 03/09/16: Lasse Langwadt Christensen: Re: USB transceiver for FPGA
                60570: 03/09/16: rickman: Re: USB transceiver for FPGA
                    60583: 03/09/17: Lasse Langwadt Christensen: Re: USB transceiver for FPGA
60499: 03/09/15: <remove_spam_rprager@frequentis.com>: Quartus internal synthesis more verbose?
60504: 03/09/15: Srikanth Anumalla: fpga +cpu + wireless
    60512: 03/09/15: Jan Panteltje: Re: fpga +cpu + wireless
        60551: 03/09/16: Srikanth Anumalla: Re: fpga +cpu + wireless
            60558: 03/09/16: Andras Tantos: Re: fpga +cpu + wireless
                60572: 03/09/16: Srikanth Anumalla: Re: fpga +cpu + wireless
                    60576: 03/09/16: Andras Tantos: Re: fpga +cpu + wireless
            60574: 03/09/16: Jan Panteltje: Re: fpga +cpu + wireless
            60611: 03/09/17: Jesse Kempa: Re: fpga +cpu + wireless
                60615: 03/09/17: Srikanth Anumalla: Re: fpga +cpu + wireless
                    60757: 03/09/22: MNQ: Re: fpga +cpu + wireless
60520: 03/09/16: John Williams: spartan3 pin tables
    60521: 03/09/15: rickman: Re: spartan3 pin tables
        60524: 03/09/16: John Williams: Re: spartan3 pin tables
    60562: 03/09/16: Steven K. Knapp: Re: spartan3 pin tables
        60599: 03/09/17: Allan Herriman: Re: spartan3 pin tables
            60628: 03/09/18: John Williams: Re: spartan3 pin tables
60522: 03/09/16: Allan Herriman: 'RSVD' pin on V2/V2P
    60531: 03/09/16: Bob: Re: 'RSVD' pin on V2/V2P
    60573: 03/09/16: Alan Nishioka: Re: 'RSVD' pin on V2/V2P
        60587: 03/09/17: Allan Herriman: Re: 'RSVD' pin on V2/V2P
        60626: 03/09/17: Uwe Bonnes: Re: 'RSVD' pin on V2/V2P
60526: 03/09/15: Jake Janovetz: Xilinx ISE 6.1i
    60533: 03/09/16: Antti Lukats: Re: Xilinx ISE 6.1i
        60564: 03/09/16: Jake Janovetz: Re: Xilinx ISE 6.1i
    60536: 03/09/16: Giuseppeł: Re: Xilinx ISE 6.1i
        60537: 03/09/16: Giuseppeł: Re: Xilinx ISE 6.1i
        60565: 03/09/16: Jake Janovetz: Re: Xilinx ISE 6.1i
            60571: 03/09/16: rickman: Re: Xilinx ISE 6.1i
    60563: 03/09/16: Lorenzo: Re: Xilinx ISE 6.1i
    60582: 03/09/16: Tullio Grassi: Re: Xilinx ISE 6.1i
60530: 03/09/15: rider: IBUF, IBUFG, OBUF
    60555: 03/09/16: Tullio Grassi: Re: IBUF, IBUFG, OBUF
60535: 03/09/16: Max: back-annotate pin location with xilinx webpack 5.2
60538: 03/09/16: Christian Riesch: ByteblasterMV and QuartusII 3.0
60539: 03/09/16: Arkaitz: MICROBLAZE: Using external instruction memory
    60540: 03/09/16: Goran Bilski: Re: MICROBLAZE: Using external instruction memory
    60557: 03/09/16: Antti Lukats: Re: MICROBLAZE: Using external instruction memory
        61659: 03/10/08: arkaitz: Re: MICROBLAZE: Using external instruction memory
            61679: 03/10/09: John Williams: Re: MICROBLAZE: Using external instruction memory
                61680: 03/10/09: John Williams: Re: MICROBLAZE: Using external instruction memory
                    61698: 03/10/08: arkaitz: Re: MICROBLAZE: Using external instruction memory
                        61700: 03/10/09: John Williams: Re: MICROBLAZE: Using external instruction memory
                            61713: 03/10/09: arkaitz: Re: MICROBLAZE: Using external instruction memory
                                61745: 03/10/10: John Williams: Re: MICROBLAZE: Using external instruction memory
            61751: 03/10/10: John Williams: Re: MICROBLAZE: Using external instruction memory
                61763: 03/10/10: arkaitz: Re: MICROBLAZE: Using external instruction memory
60544: 03/09/16: Jostein Rolstad: Reporting in ISE5.1 timing analyzer
60545: 03/09/16: Sergio Tassinari: Digilent board
    60546: 03/09/16: Yves Deweerdt: Re: Digilent board
    60553: 03/09/16: Jan Panteltje: Re: Digilent board
    60588: 03/09/17: bobi: Re: Digilent board
    60592: 03/09/17: Sergio Tassinari: Re: Digilent board
    60614: 03/09/17: jetmarc: Re: Digilent board
60554: 03/09/16: Nahum Barnea: Virtex2 - HSTL interface
60556: 03/09/16: Jon Elson: Xilinx source dragonsources
    60593: 03/09/17: Leon Heller: Re: Xilinx source dragonsources
        60618: 03/09/17: Jon Elson: Re: Xilinx source dragonsources
60575: 03/09/16: Frank: Making hard macros in Xilinx FPGA Editor
    60595: 03/09/17: Christian Haase: Re: Making hard macros in Xilinx FPGA Editor
60585: 03/09/17: John Williams: platform flash as storage?
    60591: 03/09/16: Antti Lukats: Re: platform flash as storage?
    60594: 03/09/17: Lorenzo: Re: platform flash as storage?
        60606: 03/09/17: Antti Lukats: Re: platform flash as storage?
            60607: 03/09/17: Lorenzo: Re: platform flash as storage?
    60612: 03/09/17: jetmarc: Re: platform flash as storage?
60586: 03/09/16: Kenneth Land: Nios Quartus II Question...
    60610: 03/09/17: Kenneth Land: Re: Nios Quartus II Question...
    60620: 03/09/17: Jesse Kempa: Re: Nios Quartus II Question...
        60638: 03/09/18: Ken Land: Re: Nios Quartus II Question...
60589: 03/09/16: wosiqiu: How to use systemc together with VHDL or Verilog?
60590: 03/09/17: SneakerNet: USB Transreceiver (PDIUSBP11A)
60597: 03/09/17: Patrik Eriksson: Xilinx ISE 6.1i DCM is dead
    61114: 03/09/29: gerard Thierry: Re: Xilinx ISE 6.1i DCM is dead
60600: 03/09/17: Michael Dunbar: Actel Desktop Schematic Viewer
    60621: 03/09/17: Andrew Paule: Re: Actel Desktop Schematic Viewer
        60646: 03/09/18: Michael Dunbar: Re: Actel Desktop Schematic Viewer
60601: 03/09/17: James Chang: FPGA congress on Asia
    60603: 03/09/17: Nial Stewart: Re: FPGA congress on Asia
60602: 03/09/17: Roberto Gallo: Xilinx
    60605: 03/09/17: rickman: Re: Xilinx
        60609: 03/09/17: Peter Alfke: Re: Xilinx
            60625: 03/09/17: Ray Andraka: Re: Xilinx
                60630: 03/09/17: Peter Alfke: Re: Xilinx
                    60631: 03/09/17: Ray Andraka: Re: Xilinx
                    60710: 03/09/19: Neil Franklin: Re: Xilinx
                        61323: 03/10/01: Ray Andraka: Re: Xilinx
                60640: 03/09/18: rickman: Re: Xilinx
                    60643: 03/09/18: Peter Alfke: Re: Xilinx
                        60671: 03/09/19: Paul Leventis: Re: Xilinx
                            60675: 03/09/19: Hal Murray: Re: Xilinx
                                60695: 03/09/19: Peter Alfke: Re: Xilinx
                                    60704: 03/09/19: Ray Andraka: Re: Xilinx
                                60699: 03/09/19: Mike Treseler: Re: Xilinx
                            60702: 03/09/19: Ray Andraka: Re: Xilinx
                                60712: 03/09/19: Austin Lesea: Re: Xilinx
                                    61324: 03/10/01: Ray Andraka: Re: Xilinx
                            60755: 03/09/22: rickman: Re: Xilinx
                                60758: 03/09/22: Simon Peacock: Re: Xilinx
                                60957: 03/09/25: Lorenzo Lutti: Re: Xilinx
                                61325: 03/10/01: Ray Andraka: Re: Xilinx
    60608: 03/09/17: Peter Alfke: Re: Xilinx
        60616: 03/09/17: Larry Doolittle: Re: Xilinx
            60617: 03/09/17: Peter Alfke: Re: Xilinx
60619: 03/09/17: H. Peter Anvin: Quartus II 2.2 smart compile ignoring .mif
    60632: 03/09/18: Subroto Datta: Re: Quartus II 2.2 smart compile ignoring .mif
60634: 03/09/18: Bob: Using LUTs for array of coefficients
    60641: 03/09/18: Jake Janovetz: Re: Using LUTs for array of coefficients
        60657: 03/09/18: Bob: Re: Using LUTs for array of coefficients
    60642: 03/09/18: Peter Alfke: Re: Using LUTs for array of coefficients
    60654: 03/09/18: Ray Andraka: Re: Using LUTs for array of coefficients
    60669: 03/09/18: Vaughn Betz: Re: Using LUTs for array of coefficients
    60816: 03/09/23: Tullio Grassi: Re: Using LUTs for array of coefficients
    61326: 03/10/01: Ray Andraka: Re: Using LUTs for array of coefficients
60639: 03/09/18: Steven Archibald: High Bandwidth Virtex II boards
    60644: 03/09/18: rickman: Re: High Bandwidth Virtex II boards
    60658: 03/09/18: <mdini@dinigroup.com>: Re: High Bandwidth Virtex II boards
60648: 03/09/18: Theron Hicks: divide by on spartan3?
    60655: 03/09/18: Ray Andraka: Re: divide by on spartan3?
        60659: 03/09/18: Theron Hicks (Terry): Re: divide by on spartan3?
                60668: 03/09/19: Glen Herrmannsfeldt: Re: divide by on spartan3?
                    60673: 03/09/19: Hal Murray: Re: divide by on spartan3?
                        60688: 03/09/19: Theron Hicks: Re: divide by on spartan3?
                            60697: 03/09/19: Peter Alfke: Re: divide by on spartan3?
                60687: 03/09/19: John: Re: divide by on spartan3?
                60693: 03/09/19: John: Re: divide by on spartan3?
            60663: 03/09/18: Peter Alfke: Re: divide by on spartan3?
            60698: 03/09/19: John_H: Re: divide by on spartan3?
                60701: 03/09/19: Theron Hicks: Re: divide by on spartan3?
            60733: 03/09/20: Ray Andraka: Re: divide by on spartan3?
    60661: 03/09/18: John: Re: divide by on spartan3?
        60753: 03/09/21: Tom Seim: Re: divide by on spartan3?
60651: 03/09/18: video1: Reconfiguration, Spartan 3, Compressed bit stream, ICAP
    60672: 03/09/18: Antti Lukats: Re: Reconfiguration, Spartan 3, Compressed bit stream, ICAP
        60689: 03/09/19: video1: Re: Reconfiguration, Spartan 3, Compressed bit stream, ICAP
    60707: 03/09/19: Steve Casselman: Re: Reconfiguration, Spartan 3, Compressed bit stream, ICAP
60652: 03/09/18: Yash Bansal: VHDL and ModelSIM question
60656: 03/09/18: vikram: HDL Bencher for ISE5.1 Version
    60660: 03/09/19: Marc Guardiani: Re: HDL Bencher for ISE5.1 Version
60664: 03/09/18: video1: Xilinx Spartan 3, SelectMap, Mode pins, Dynamic Reconfiguration
60665: 03/09/18: video1: Bitstream compression
    60674: 03/09/18: video1: Re: Bitstream compression
60666: 03/09/18: Garry Allen: ISE 6.1 and Redhat 9
    60677: 03/09/19: Hans: Re: ISE 6.1 and Redhat 9
        60726: 03/09/20: Matt: Re: ISE 6.1 and Redhat 9
            60731: 03/09/20: Hans: Re: ISE 6.1 and Redhat 9
                60864: 03/09/24: Matt: Re: ISE 6.1 and Redhat 9
                    60888: 03/09/24: Steve Lass: Re: ISE 6.1 and Redhat 9
                        60895: 03/09/24: Petter Gustad: Re: ISE 6.1 and Redhat 9
                            60904: 03/09/24: Steve Lass: Re: ISE 6.1 and Redhat 9
                                60910: 03/09/24: Eric Smith: Re: ISE 6.1 and Redhat 9
                        60922: 03/09/25: Matt: Re: ISE 6.1 and Redhat 9
                        60924: 03/09/25: Jim Granville: Re: ISE 6.1 and Redhat 9
                            60946: 03/09/25: Steve Lass: Re: ISE 6.1 and Redhat 9
                                60951: 03/09/25: Steve Lass: Re: ISE 6.1 and Redhat 9
                                60954: 03/09/25: Eric Smith: Re: ISE 6.1 and Redhat 9
                                    61062: 03/09/26: Steve Lass: Re: ISE 6.1 and Redhat 9
        60751: 03/09/21: Garry Allen: Re: ISE 6.1 and Redhat 9
60670: 03/09/19: CF: Parallel JTAG cable on a USB-only W2K laptop?
    60678: 03/09/19: Antti Lukats: Re: Parallel JTAG cable on a USB-only W2K laptop?
        60737: 03/09/20: CF: Re: Parallel JTAG cable on a USB-only W2K laptop?
            60740: 03/09/21: Simon Peacock: Re: Parallel JTAG cable on a USB-only W2K laptop?
                60750: 03/09/21: Peter Wallace: Re: Parallel JTAG cable on a USB-only W2K laptop?
                    60759: 03/09/22: Simon Peacock: Re: Parallel JTAG cable on a USB-only W2K laptop?
                        60788: 03/09/22: Peter C. Wallace: Re: Parallel JTAG cable on a USB-only W2K laptop?
                    60798: 03/09/22: Peter C. Wallace: Re: Parallel JTAG cable on a USB-only W2K laptop?
            60752: 03/09/22: John Williams: Re: Parallel JTAG cable on a USB-only W2K laptop?
60679: 03/09/19: rider: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
    60684: 03/09/19: Antti Lukats: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
        60685: 03/09/19: Aurelian Lazarut: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
            60743: 03/09/21: Antti Lukats: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
                60775: 03/09/22: Chen Wei Tseng: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
                    60810: 03/09/22: Antti Lukats: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
                        60843: 03/09/23: Chen Wei Tseng: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
                            60868: 03/09/23: Antti Lukats: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
    60694: 03/09/19: Lorenzo Lutti: Re: Xilinx Parallel Cable 4 (PC4) and Platform Flash JTAG
60680: 03/09/19: Petter Gustad: NIOS: plugs without an uart?
60681: 03/09/19: Eva Lau: Some question about using FPGA
    60696: 03/09/19: Peter Alfke: Re: Some question about using FPGA
    60703: 03/09/19: Xilinx FAE from Insight SANKET: Re: Some question about using FPGA
    60718: 03/09/19: Ray Andraka: Re: Some question about using FPGA
        60724: 03/09/20: Jim Granville: Re: Some question about using FPGA
60682: 03/09/19: ccchen: DigiLab2 Spartan 2 : Can't download..
    60683: 03/09/19: Christopher Saunter: Re: DigiLab2 Spartan 2 : Can't download..
    60917: 03/09/24: ccchen: Re: DigiLab2 Spartan 2 : Can't download..
60690: 03/09/19: video1: Xilinx Impact bitstream compression
60705: 03/09/19: Aman Gayasen: Questions about XPower
    60711: 03/09/19: Peter Alfke: Re: Questions about XPower
    61271: 03/10/01: Brendan Cullen: Re: Questions about XPower
60709: 03/09/19: Sam Kaan: ORCA fpga?
    60713: 03/09/19: Peter Alfke: Re: ORCA fpga?
    60714: 03/09/19: Mikeandmax: Re: ORCA fpga?
        60756: 03/09/22: rickman: Re: ORCA fpga?
                60896: 03/09/24: Sam Kaan: Re: ORCA fpga?
                    60921: 03/09/24: rickman: Re: ORCA fpga?
60715: 03/09/19: Jon Elson: Re: LVDS in Xilinx (Spartan-3)
60716: 03/09/19: Jason Daughenbaugh: LVDS in Xilinx (Spartan-3)
    60717: 03/09/19: Mike Treseler: Re: LVDS in Xilinx (Spartan-3)
    60728: 03/09/19: Andrew Paule: Re: LVDS in Xilinx (Spartan-3)
60727: 03/09/19: rider: Configuration Options:
    60732: 03/09/20: Lorenzo Lutti: Re: Configuration Options:
        60777: 03/09/22: Peter Alfke: Re: Configuration Options:
            60890: 03/09/24: Marc Randolph: Re: Configuration Options:
                60900: 03/09/24: Chen Wei Tseng: Re: Configuration Options:
                    60914: 03/09/25: Allan Herriman: Re: Configuration Options:
                        60915: 03/09/24: Eric Smith: Re: Configuration Options:
                            60925: 03/09/25: Allan Herriman: Re: Configuration Options:
                                60930: 03/09/25: Allan Herriman: Re: Configuration Options:
                            60939: 03/09/25: rickman: Re: Configuration Options:
                        60918: 03/09/25: Allan Herriman: Re: Configuration Options:
                        60943: 03/09/25: Chen Wei Tseng: Re: Configuration Options:
            60955: 03/09/25: Lorenzo Lutti: Re: Configuration Options:
    60772: 03/09/22: Chen Wei Tseng: Re: Configuration Options:
60730: 03/09/20: Bertrik Sikken: show-ahead FIFOs
    60735: 03/09/20: Rene Tschaggelar: Re: show-ahead FIFOs
    60738: 03/09/20: Andrew Paule: Re: show-ahead FIFOs
        60739: 03/09/21: Bob: Re: show-ahead FIFOs
            60741: 03/09/21: Simon Peacock: Re: show-ahead FIFOs
        60744: 03/09/21: Bertrik Sikken: Re: show-ahead FIFOs
            60745: 03/09/21: Andrew Paule: Re: show-ahead FIFOs
                60747: 03/09/21: Philip Freidin: Re: show-ahead FIFOs
                    60749: 03/09/21: Andrew Paule: Re: show-ahead FIFOs
                        60781: 03/09/22: rickman: Re: show-ahead FIFOs
                            60796: 03/09/22: Andrew Paule: Re: show-ahead FIFOs
    60776: 03/09/22: Peter Alfke: Re: show-ahead FIFOs
60746: 03/09/21: Valeria Dal Monte: Italy is out of FPGA world?
    60766: 03/09/22: Ken Morrow: Re: Italy is out of FPGA world?
        60786: 03/09/22: Jesse Kempa: Re: Italy is out of FPGA world?
    60809: 03/09/22: Arrigo Benedetti: Re: Italy is out of FPGA world?
    60956: 03/09/25: Lorenzo Lutti: Re: Italy is out of FPGA world?
        62052: 03/10/17: nameiswolf: Re: Italy is out of FPGA world?
            62082: 03/10/18: Lorenzo Lutti: Re: Italy is out of FPGA world?
        62102: 03/10/19: Ljubisa Bajic: Re: Italy is out of FPGA world?
            62104: 03/10/19: Lorenzo Lutti: Re: Italy is out of FPGA world?
                62133: 03/10/20: Rene Tschaggelar: Re: Italy is out of FPGA world?
                    62157: 03/10/20: john jakson: Re: Italy is out of FPGA world?
                    62183: 03/10/21: Lorenzo Lutti: Re: Italy is out of FPGA world?
    62155: 03/10/21: Tullio Grassi: Re: Italy is out of FPGA world?
60754: 03/09/21: RENE: 1024 POINTS FFT V2.0 Xilinx Core
60761: 03/09/22: H.Azmi: Regarding XC6216
    60779: 03/09/22: Peter Alfke: Re: Regarding XC6216
        60815: 03/09/23: Antti Lukats: Re: Regarding XC6216
            60830: 03/09/23: Steve Casselman: Re: Regarding XC6216
                60854: 03/09/23: Neil Franklin: Re: Regarding XC6216
                60869: 03/09/23: Antti Lukats: Re: Regarding XC6216
            60832: 03/09/23: Peter Alfke: Re: Regarding XC6216
            60839: 03/09/23: Tim: Re: Regarding XC6216
        60852: 03/09/23: Neil Franklin: Re: Regarding XC6216
            60858: 03/09/23: Peter Alfke: Re: Regarding XC6216
                60891: 03/09/24: Symon: Re: Regarding XC6216
                60897: 03/09/24: Neil Franklin: Re: Regarding XC6216
                    60908: 03/09/24: Symon: Re: Regarding XC6216
60762: 03/09/22: Heiko Panther: EDK 3.2: timing constraint for CLKDLL
60763: 03/09/22: Stephan Neuhold: Moderator of comp.arch.fpga
    60780: 03/09/22: Peter Alfke: Re: Moderator of comp.arch.fpga
60765: 03/09/22: Sebastian Lange: FPGA implementation in (V)HDL
    60767: 03/09/22: Philip Freidin: Re: FPGA implementation in (V)HDL
        60785: 03/09/22: Andras Tantos: Re: FPGA implementation in (V)HDL
    60787: 03/09/22: jetmarc: Re: FPGA implementation in (V)HDL
        60829: 03/09/23: Brian Drummond: Re: FPGA implementation in (V)HDL
            60862: 03/09/24: Vinh Pham: Re: FPGA implementation in (V)HDL
            60863: 03/09/24: John Williams: Re: FPGA implementation in (V)HDL
        60856: 03/09/24: Neil Franklin: Re: FPGA implementation in (V)HDL
            60859: 03/09/23: Peter Alfke: Re: FPGA implementation in (V)HDL
                60870: 03/09/23: Antti Lukats: Re: FPGA implementation in (V)HDL
                    60887: 03/09/24: Peter Alfke: Re: FPGA implementation in (V)HDL
                    60909: 03/09/25: Neil Franklin: Re: FPGA implementation in (V)HDL
    61191: 03/09/30: Paul Leventis: Re: FPGA implementation in (V)HDL
60768: 03/09/22: Tom Derham: Synchronous counter enable pulse length
    60773: 03/09/22: John_H: Re: Synchronous counter enable pulse length
    60782: 03/09/22: Peter Alfke: Re: Synchronous counter enable pulse length
    60790: 03/09/22: Rene Tschaggelar: Re: Synchronous counter enable pulse length
        60792: 03/09/22: John_H: Re: Synchronous counter enable pulse length
            60817: 03/09/23: Rene Tschaggelar: Re: Synchronous counter enable pulse length
    60795: 03/09/22: Glen Herrmannsfeldt: Re: Synchronous counter enable pulse length
        60797: 03/09/22: Andrew Paule: Re: Synchronous counter enable pulse length
            60800: 03/09/22: Peter Alfke: Re: Synchronous counter enable pulse length
                60802: 03/09/23: Glen Herrmannsfeldt: Re: Synchronous counter enable pulse length
                    60835: 03/09/23: Peter Alfke: Re: Synchronous counter enable pulse length
                60807: 03/09/23: Hal Murray: Re: Synchronous counter enable pulse length
                    60812: 03/09/23: Glen Herrmannsfeldt: Re: Synchronous counter enable pulse length
                    60834: 03/09/23: Peter Alfke: Re: Synchronous counter enable pulse length
                    60860: 03/09/24: Vinh Pham: Re: Synchronous counter enable pulse length
                        60874: 03/09/24: Glen Herrmannsfeldt: Re: Synchronous counter enable pulse length
                            60880: 03/09/24: ykagarwal: Re: Synchronous counter enable pulse length
                            60893: 03/09/24: Vinh Pham: Re: Synchronous counter enable pulse length
                                60899: 03/09/24: Glen Herrmannsfeldt: Re: Synchronous counter enable pulse length
                                    60902: 03/09/24: Vinh Pham: Re: Synchronous counter enable pulse length
                                        60911: 03/09/24: Glen Herrmannsfeldt: Re: Synchronous counter enable pulse length
        60799: 03/09/22: Peter Alfke: Re: Synchronous counter enable pulse length
            60803: 03/09/23: Glen Herrmannsfeldt: Re: Synchronous counter enable pulse length
            60912: 03/09/24: <se10110@yahoo.com>: Re: Synchronous counter enable pulse length
60778: 03/09/22: Mike: Cheapest programmer for a ICT 7572J Peel device
60793: 03/09/22: Julien Eyries: LUT and Registers in Xilinx Virtex 2
    60801: 03/09/23: Allan Herriman: Re: LUT and Registers in Xilinx Virtex 2
        60928: 03/09/25: Julien Eyries: Re: LUT and Registers in Xilinx Virtex 2
            60974: 03/09/26: Vinh Pham: Re: LUT and Registers in Xilinx Virtex 2
60805: 03/09/23: SneakerNet: USB 1.1/2.0 Implementation
    60811: 03/09/22: Antti Lukats: Re: USB 1.1/2.0 Implementation
        60855: 03/09/24: SneakerNet: Re: USB 1.1/2.0 Implementation
            60865: 03/09/23: rickman: Re: USB 1.1/2.0 Implementation
                60866: 03/09/24: SneakerNet: Re: USB 1.1/2.0 Implementation
            60876: 03/09/24: Antti Lukats: Re: USB 1.1/2.0 Implementation
                60907: 03/09/25: SneakerNet: Re: USB 1.1/2.0 Implementation
                    60916: 03/09/24: rickman: Re: USB 1.1/2.0 Implementation
                    61189: 03/09/30: Paul Leventis: Re: USB 1.1/2.0 Implementation
                        61192: 03/09/30: SneakerNet: Re: USB 1.1/2.0 Implementation
                            61195: 03/09/30: Paul Leventis: Re: USB 1.1/2.0 Implementation
                                61237: 03/10/01: SneakerNet: Re: USB 1.1/2.0 Implementation
                            61229: 03/09/30: Ken Land: Re: USB 1.1/2.0 Implementation
                                61236: 03/10/01: SneakerNet: Re: USB 1.1/2.0 Implementation
                                    61248: 03/09/30: Kenneth Land: Re: USB 1.1/2.0 Implementation
                                        61250: 03/10/01: SneakerNet: Re: USB 1.1/2.0 Implementation
                                            61251: 03/09/30: Kenneth Land: Re: USB 1.1/2.0 Implementation
                                                61253: 03/10/01: SneakerNet: Re: USB 1.1/2.0 Implementation
                                    61259: 03/09/30: Joe Chisolm: Re: USB 1.1/2.0 Implementation
60808: 03/09/23: Bram van de Kerkhof: DCM virtex 2 doesn't lose lock
    60828: 03/09/23: Austin Lesea: Re: DCM virtex 2 doesn't lose lock
60813: 03/09/23: Sumit Gupta: Added Keyboard controller to C-NIT
    60822: 03/09/23: Antti Lukats: Re: Added Keyboard controller to C-NIT
        60845: 03/09/23: Antti Lukats: Re: Added Keyboard controller to C-NIT
        60851: 03/09/23: Sumit Gupta: Re: Added Keyboard controller to C-NIT
60814: 03/09/23: H.Azmi: FPGA RESEARCH FSK
60818: 03/09/23: Matthias =?iso-8859-1?Q?M=FCller?=: Location constraint
    60823: 03/09/23: Antti Lukats: Re: Location constraint
    60850: 03/09/23: Christian Schneider: Re: Location constraint
60819: 03/09/23: Ram: PPC access to PROM using Virtex @ pro
    60821: 03/09/23: Antti Lukats: Re: PPC access to PROM using Virtex @ pro
60831: 03/09/23: James Williams: IEEE 1284 Core for Xilinx
    60853: 03/09/23: Jon Elson: Re: IEEE 1284 Core for Xilinx
    60871: 03/09/23: Antti Lukats: Re: IEEE 1284 Core for Xilinx
    60873: 03/09/24: Antti Lukats: Re: IEEE 1284 Core for Xilinx
        60892: 03/09/24: James Williams: Re: IEEE 1284 Core for Xilinx
            60906: 03/09/24: Jon Elson: Re: IEEE 1284 Core for Xilinx
                60926: 03/09/24: Antti Lukats: Re: IEEE 1284 Core for Xilinx | Reading Japanese FPGA pages
                    60945: 03/09/25: James Williams: Re: IEEE 1284 Core for Xilinx | Reading Japanese FPGA pages
                        60963: 03/09/25: Amontec Team, Laurent Gauch: Re: IEEE 1284 Core for Xilinx | Reading Japanese FPGA pages
                    60948: 03/09/25: James Williams: Re: IEEE 1284 Core for Xilinx | Reading Japanese FPGA pages
                        60979: 03/09/25: Antti Lukats: Re: IEEE 1284 Core for Xilinx | Reading Japanese FPGA pages
                            61005: 03/09/26: James Williams: Re: IEEE 1284 Core for Xilinx | Reading Japanese FPGA pages
60833: 03/09/23: James Williams: New to VHDL for Xilinx
    60840: 03/09/23: Peter Alfke: Re: New to VHDL for Xilinx
    60841: 03/09/23: rickman: Re: New to VHDL for Xilinx
        60842: 03/09/23: James Williams: Re: New to VHDL for Xilinx
            60847: 03/09/23: rickman: Re: New to VHDL for Xilinx
                60849: 03/09/23: James Williams: Re: New to VHDL for Xilinx
60846: 03/09/23: Virtex_User: Corrupt Xilinx 18vxx poms
    60848: 03/09/23: Chen Wei Tseng: Re: Corrupt Xilinx 18vxx poms
    60933: 03/09/25: Brian Davis: Re: Corrupt Xilinx 18vxx poms
60857: 03/09/23: richie singh: Accessing local GSR net of a Spartan-II
60872: 03/09/24: rider: Regulator for Spartan 2
    60878: 03/09/24: Antti Lukats: Re: Regulator for Spartan 2
    60884: 03/09/24: Austin Lesea: Re: Regulator for Spartan 2
    60886: 03/09/24: Peter Alfke: Re: Regulator for Spartan 2
        60905: 03/09/24: Jon Elson: Re: Regulator for Spartan 2
            60913: 03/09/24: Peter Alfke: Re: Regulator for Spartan 2
            61190: 03/09/30: Paul Leventis: Re: Regulator for Spartan 2
                61286: 03/10/01: Vaughn Betz: Re: Regulator for Spartan 2
        60950: 03/09/25: rider: Re: Regulator for Spartan 2
            60953: 03/09/25: Mike Treseler: Re: Regulator for Spartan 2
            60958: 03/09/25: Peter Alfke: Re: Regulator for Spartan 2
                60986: 03/09/26: rider: Re: Regulator for Spartan 2
                    60994: 03/09/26: Magnus Homann: Re: Regulator for Spartan 2
                    61070: 03/09/27: Hal Murray: Re: Regulator for Spartan 2
    60960: 03/09/25: Symon: Re: Regulator for Spartan 2
60875: 03/09/24: Alan Fitch: Install problem RedHat 7.3 ISE 6.1i - no space available
60879: 03/09/24: Jan Panteltje: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
    60980: 03/09/25: jaideep: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
        61001: 03/09/26: Mark: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
            61015: 03/09/26: Jake Janovetz: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
                61128: 03/09/29: rickman: Re: WARNING do not use your real email address in USENET postings!
        61004: 03/09/26: Luiz Carlos: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
            61052: 03/09/26: ram: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
                61087: 03/09/27: Jan Panteltje: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
                    61127: 03/09/29: rickman: Re: WARNING do not use your real email address in USENET postings!
                        61166: 03/09/29: Jan Panteltje: Re: WARNING do not use your real email address in USENET postings!
        61010: 03/09/26: John_H: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
        61018: 03/09/26: Duane Clark: Re: WARNING do not use your real email address in USENET postings!
            61078: 03/09/27: Vakaras: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
        61105: 03/09/28: Neeraj Varma: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
            61139: 03/09/29: Tero Rissa: Re: WARNING do not use your real email address in USENET postings! Swem/Gibe virus will spam you 1000x!
60881: 03/09/24: Isaac: Reading from FPGA Issue
    60927: 03/09/25: Mario Trams: Re: Reading from FPGA Issue
    60932: 03/09/25: Charles M. Elias: Re: Reading from FPGA Issue
        61002: 03/09/26: Isaac: Re: Reading from FPGA Issue
            61209: 03/09/30: Isaac: Re: Reading from FPGA Issue
60882: 03/09/24: javid: Portable computer for FPGA/CPLD tools
    60929: 03/09/25: Amontec Team, Laurent Gauch: Re: Portable computer for FPGA/CPLD tools
        60975: 03/09/26: Vinh Pham: Re: Portable computer for FPGA/CPLD tools
    60931: 03/09/25: Yves Deweerdt: Re: Portable computer for FPGA/CPLD tools
60901: 03/09/24: Theron Hicks: Speed of various elements in the spartan3
60903: 03/09/24: Steven K. Knapp: Free WebPack 6.1i Download Available Now for Spartan-3
    60936: 03/09/25: Yves Deweerdt: Re: Free WebPack 6.1i Download Available Now for Spartan-3
        61075: 03/09/27: Puneet Goel: Re: Free WebPack 6.1i Download Available Now for Spartan-3
            61080: 03/09/27: Uwe Bonnes: Re: Free WebPack 6.1i Download Available Now for Spartan-3
                61109: 03/09/28: Pablo Bleyer Kocik: Re: Free WebPack 6.1i Download Available Now for Spartan-3
                    61111: 03/09/28: Duane Clark: Re: Free WebPack 6.1i Download Available Now for Spartan-3
                        61116: 03/09/29: Petter Gustad: Re: Free WebPack 6.1i Download Available Now for Spartan-3
                            61171: 03/09/29: Andy Peters: Re: Free WebPack 6.1i Download Available Now for Spartan-3
                        61133: 03/09/29: Pablo Bleyer Kocik: Re: Free WebPack 6.1i Download Available Now for Spartan-3
                            61144: 03/09/29: Steve Lass: Re: Free WebPack 6.1i Download Available Now for Spartan-3
60923: 03/09/24: Ram: on the fly Reconfig
60934: 03/09/25: Denis Gleeson: Synchronous Binary counter question.
    60947: 03/09/25: Peter Alfke: Re: Synchronous Binary counter question.
    60949: 03/09/25: Glen Herrmannsfeldt: Re: Synchronous Binary counter question.
    60959: 03/09/25: Andy Peters: Re: Synchronous Binary counter question.
        61028: 03/09/26: Anthony J Bybell: Re: Synchronous Binary counter question.
    60977: 03/09/26: Bob Feng: Re: Synchronous Binary counter question.
        61003: 03/09/26: Denis Gleeson: Re: Synchronous Binary counter question.
            61013: 03/09/26: Bob Perlman: Re: Synchronous Binary counter question.
                61056: 03/09/26: Peter Alfke: Re: Synchronous Binary counter question.
                    61060: 03/09/26: Bob Perlman: Re: Synchronous Binary counter question.
            61031: 03/09/26: Petter Gustad: Re: Synchronous Binary counter question.
            61038: 03/09/26: Andy Peters: Re: Synchronous Binary counter question.
60937: 03/09/25: T. Irmen: chipscope pro and jtag
    60952: 03/09/25: Antti Lukats: Re: chipscope pro and jtag
        60961: 03/09/25: T. Irmen: Re: chipscope pro and jtag
            60978: 03/09/25: Antti Lukats: Re: chipscope pro and jtag
                62348: 03/10/27: T. Irmen: Re: chipscope pro and jtag
                    62363: 03/10/27: Antti Lukats: Re: chipscope pro and jtag
                        62378: 03/10/28: Henk van Kampen: Re: chipscope pro and jtag
                            62508: 03/10/31: Antti Lukats: Re: chipscope pro and jtag
                                62577: 03/11/02: Henk van Kampen: Re: chipscope pro and jtag
60938: 03/09/25: Martin Euredjian: Graphics rendering
    60976: 03/09/26: Bob Feng: Re: Graphics rendering
        60983: 03/09/26: Allan Herriman: Re: Graphics rendering
        60985: 03/09/26: Martin Euredjian: Re: Graphics rendering
            60990: 03/09/26: Vinh Pham: Re: Graphics rendering
                61019: 03/09/26: Martin Euredjian: Re: Graphics rendering
                    61094: 03/09/28: Sander Vesik: Re: Graphics rendering
            61000: 03/09/26: Allan Herriman: Re: Graphics rendering
                61016: 03/09/26: Martin Euredjian: Re: Graphics rendering
                    61047: 03/09/26: Ulf Samuelsson: Re: Graphics rendering
                61030: 03/09/26: Vinh Pham: Re: Graphics rendering
                    61041: 03/09/26: Martin Euredjian: Re: Graphics rendering
                        61045: 03/09/26: Vinh Pham: Re: Graphics rendering
                            61049: 03/09/26: Martin Euredjian: Re: Graphics rendering
                                61064: 03/09/26: Vinh Pham: Re: Graphics rendering
                                    61065: 03/09/27: Martin Euredjian: Re: Graphics rendering
                                        61068: 03/09/27: Vinh Pham: Re: Graphics rendering
                                            61072: 03/09/27: Martin Euredjian: Re: Graphics rendering
                                                61074: 03/09/27: Vinh Pham: Re: Graphics rendering
                                61077: 03/09/27: Tim: Re: Graphics rendering
                                    61083: 03/09/27: Martin Euredjian: Re: Graphics rendering
            61022: 03/09/26: bfeng: Re: Graphics rendering
            61024: 03/09/26: Bob Feng: Re: Graphics rendering
                61042: 03/09/26: Martin Euredjian: Re: Graphics rendering
                61067: 03/09/27: Hal Murray: Re: Graphics rendering
                    61069: 03/09/27: Vinh Pham: Re: Graphics rendering
                    61071: 03/09/27: Martin Euredjian: Re: Graphics rendering
            61050: 03/09/26: Jake Janovetz: Re: Graphics rendering
    61048: 03/09/26: Peter C. Wallace: Re: Graphics rendering
    61051: 03/09/26: Long Nguyen: Re: Graphics rendering
        61066: 03/09/27: Martin Euredjian: Re: Graphics rendering
    61231: 03/09/30: Roger Larsson: Re: Graphics rendering
    61368: 03/10/02: Jan Gray: Re: Graphics rendering -- use a BRAM line buffer
        61374: 03/10/02: Martin Euredjian: Re: Graphics rendering -- use a BRAM line buffer
60940: 03/09/25: Subroto Datta: Quartus Usability Feedback
60941: 03/09/25: Thorsten Trenz: [ANN] New Prototyping boards speed Spartan-IIE FPGA development
60962: 03/09/25: Prashant: Strange synthesis behavior from Quartus II 2.2
    61037: 03/09/26: Andy Peters: Re: Strange synthesis behavior from Quartus II 2.2
        61079: 03/09/27: Vakaras: Re: Strange synthesis behavior from Quartus II 2.2
        61086: 03/09/27: Prashant: Re: Strange synthesis behavior from Quartus II 2.2
            61186: 03/09/30: Paul Leventis: Re: Strange synthesis behavior from Quartus II 2.2
60964: 03/09/25: Peter Alfke: Nanometers, Gigahertz, and Femtoseconds
60965: 03/09/26: SneakerNet: Reducing Clock Speed
    60966: 03/09/25: Peter Alfke: Re: Reducing Clock Speed
        60968: 03/09/26: John_H: Re: Reducing Clock Speed
            60971: 03/09/26: SneakerNet: Re: Reducing Clock Speed
    60972: 03/09/25: John_H: Re: Reducing Clock Speed
        60973: 03/09/26: SneakerNet: Re: Reducing Clock Speed
    61081: 03/09/27: Kenneth Land: Re: Reducing Clock Speed
        61108: 03/09/29: SneakerNet: Re: Reducing Clock Speed
            61185: 03/09/30: Paul Leventis: Re: Reducing Clock Speed
                61187: 03/09/30: SneakerNet: Re: Reducing Clock Speed
60967: 03/09/25: Jerry: NIOS and OCI
    61082: 03/09/27: Kenneth Land: Re: NIOS and OCI
        61092: 03/09/27: Jerry: Re: NIOS and OCI
    61117: 03/09/29: Petter Gustad: Re: NIOS and OCI
    61175: 03/09/29: Jesse Kempa: Re: NIOS and OCI
    61180: 03/09/29: Jerry: Re: NIOS and OCI
60981: 03/09/25: Vakaras: How to change "X" to "0" or "1" (VHDL) ?
    60984: 03/09/26: Vinh Pham: Re: How to change "X" to "0" or "1" (VHDL) ?
        61044: 03/09/26: Andy Peters: Re: How to change "X" to "0" or "1" (VHDL) ?
    60987: 03/09/26: Simon Peacock: Re: How to change "X" to "0" or "1" (VHDL) ?
        60992: 03/09/26: Vinh Pham: Re: How to change "X" to "0" or "1" (VHDL) ?
            61020: 03/09/26: Vakaras: Re: How to change "X" to "0" or "1" (VHDL) ?
            61099: 03/09/28: Simon Peacock: Re: How to change "X" to "0" or "1" (VHDL) ?
                61102: 03/09/28: Vinh Pham: Re: How to change "X" to "0" or "1" (VHDL) ?
                61136: 03/09/29: rickman: Re: How to change "X" to "0" or "1" (VHDL) ?
60982: 03/09/25: praveen: virtex2p power consumption
    61039: 03/09/26: Andy Peters: Re: virtex2p power consumption
60988: 03/09/26: Max: pullup on inputs
    60993: 03/09/26: Allan Herriman: Re: pullup on inputs
    60996: 03/09/26: Amontec Team: Re: pullup on inputs
    61009: 03/09/26: John_H: Re: pullup on inputs
        61203: 03/09/30: Max: Re: pullup on inputs
            61219: 03/09/30: John_H: Re: pullup on inputs
    62545: 03/10/31: Pete Dudley: Re: pullup on inputs
60991: 03/09/26: Mancini Stephane: your opinion about Avnet (Silica) VirtexII Pro evaluation board
    61241: 03/09/30: Heng Tan: Re: your opinion about Avnet (Silica) VirtexII Pro evaluation board
        61421: 03/10/03: Mancini Stephane: Re: your opinion about Avnet (Silica) VirtexII Pro evaluation board
            61453: 03/10/03: Heng Tan: Re: your opinion about Avnet (Silica) VirtexII Pro evaluation board
60997: 03/09/26: Christian Haase: Partial Reconfiguration, ISE 6.1
    61061: 03/09/26: Steve Lass: Re: Partial Reconfiguration, ISE 6.1
    61122: 03/09/29: Antonio: Re: Partial Reconfiguration, ISE 6.1
60999: 03/09/26: =?ISO-8859-1?Q?Nicolas_Herv=E9?=: Xpower report
    61270: 03/10/01: Brendan Cullen: Re: Xpower report
61006: 03/09/26: MM: FF with CE doesn't synthesize correctly by XST?
    61008: 03/09/26: Mike Treseler: Re: FF with CE doesn't synthesize correctly by XST?
        61012: 03/09/26: MM: Re: FF with CE doesn't synthesize correctly by XST?
            61017: 03/09/26: Mike Treseler: Re: FF with CE doesn't synthesize correctly by XST?
                61021: 03/09/26: MM: Re: FF with CE doesn't synthesize correctly by XST?
    61011: 03/09/26: Chris Ebeling: Re: FF with CE doesn't synthesize correctly by XST?
        61023: 03/09/26: MM: Re: FF with CE doesn't synthesize correctly by XST?
            61026: 03/09/26: MM: Re: FF with CE doesn't synthesize correctly by XST?
                61027: 03/09/26: Vinh Pham: Re: FF with CE doesn't synthesize correctly by XST?
                    61029: 03/09/26: MM: Re: FF with CE doesn't synthesize correctly by XST?
                        61034: 03/09/26: MM: Re: FF with CE doesn't synthesize correctly by XST?
                            61046: 03/09/26: Vinh Pham: Re: FF with CE doesn't synthesize correctly by XST?
    61025: 03/09/26: Vinh Pham: Re: FF with CE doesn't synthesize correctly by XST?
    61033: 03/09/26: Chris Ebeling: Re: FF with CE doesn't synthesize correctly by XST?
    61036: 03/09/26: Andy Peters: Re: FF with CE doesn't synthesize correctly by XST?
        61040: 03/09/26: MM: Re: FF with CE doesn't synthesize correctly by XST?
    61058: 03/09/26: Jake Janovetz: Re: FF with CE doesn't synthesize correctly by XST?
        61097: 03/09/27: MM: Re: FF with CE doesn't synthesize correctly by XST?
61014: 03/09/26: Andy Greensted: Xilinx: LOC'd IO internal to VHDL Module
    61032: 03/09/26: MM: Re: Xilinx: LOC'd IO internal to VHDL Module
61053: 03/09/26: Pete Dudley: Xilinx ISE 6.1 Clocking Wizard - no hdl generated?
    61055: 03/09/26: Pete Dudley: Re: Xilinx ISE 6.1 Clocking Wizard - no hdl generated?
61054: 03/09/26: Ekalavya Nishada: FPGA implementation of a lexer and parser - feasible?
    61057: 03/09/26: Phil Tomson: Re: FPGA implementation of a lexer and parser - feasible?
        61063: 03/09/26: Glen Herrmannsfeldt: Re: FPGA implementation of a lexer and parser - feasible?
            61085: 03/09/27: Ekalavya Nishada: Re: FPGA implementation of a lexer and parser - feasible?
                61090: 03/09/27: Steve Casselman: Re: FPGA implementation of a lexer and parser - feasible?
                61093: 03/09/27: Glen Herrmannsfeldt: Re: FPGA implementation of a lexer and parser - feasible?
        61084: 03/09/27: Ekalavya Nishada: Re: FPGA implementation of a lexer and parser - feasible?
            61089: 03/09/27: Hal Murray: Re: FPGA implementation of a lexer and parser - feasible?
    61076: 03/09/27: Tim: Re: FPGA implementation of a lexer and parser - feasible?
        61256: 03/10/01: Jan Gray: Re: FPGA implementation of a lexer and parser - feasible?
    61088: 03/09/27: Will: Re: FPGA implementation of a lexer and parser - feasible?
61059: 03/09/26: Symon: Can I use pullup/pulldown to bias LVDS input?
    61091: 03/09/27: Bob: Re: Can I use pullup/pulldown to bias LVDS input?
        61151: 03/09/29: Symon: Re: Can I use pullup/pulldown to bias LVDS input?
    61240: 03/09/30: Peter Monta: Re: Can I use pullup/pulldown to bias LVDS input?
61095: 03/09/27: Prashant: Implementing Bidirectional pins
    61096: 03/09/28: Muzaffer Kal: Re: Implementing Bidirectional pins
        61106: 03/09/28: Prashant: Re: Implementing Bidirectional pins
            61115: 03/09/29: Simon Peacock: Re: Implementing Bidirectional pins
            61146: 03/09/29: Muzaffer Kal: Re: Implementing Bidirectional pins
    61156: 03/09/29: Subroto Datta: Re: Implementing Bidirectional pins
    61211: 03/09/30: Raghavendra: Re: Implementing Bidirectional pins
        61264: 03/10/01: HJO: Re: Implementing Bidirectional pins
61098: 03/09/28: Robert Sefton: OT: spam poll
    61100: 03/09/28: Simon Peacock: Re: spam poll
        61101: 03/09/28: Hal Murray: Re: spam poll
            61129: 03/09/29: rickman: Re: spam poll
    61103: 03/09/28: Vinh Pham: Re: spam poll
    61104: 03/09/28: Russell Shaw: Re: OT: spam poll
    61132: 03/09/29: Robert Sefton: Re: spam poll
        61183: 03/09/30: Paul Leventis: Re: spam poll
            61255: 03/10/01: Robert Sefton: Re: spam poll
    61134: 03/09/29: rickman: Re: OT: spam poll
    61149: 03/09/29: Mike Treseler: Re: OT: spam poll
        61159: 03/09/29: Ray Andraka: Re: OT: spam poll
            61181: 03/09/29: rickman: Re: OT: spam poll
                61413: 03/10/03: Neeraj Varma: Re: OT: spam poll
                    61416: 03/10/03: Uwe Bonnes: Re: OT: spam poll
    61158: 03/09/29: Ray Andraka: Re: OT: spam poll
        61161: 03/09/29: Eric Smith: Re: OT: spam poll
        61257: 03/10/01: Robert Sefton: Re: OT: spam poll
        61277: 03/10/01: FE: Re: OT: spam poll
61107: 03/09/28: Martin Euredjian: ISE: Parallel Processing
    61120: 03/09/29: Petter Gustad: Re: ISE: Parallel Processing
        61176: 03/09/29: Stephen Williams: Re: ISE: Parallel Processing
            61206: 03/09/30: Petter Gustad: Re: ISE: Parallel Processing
            61233: 03/09/30: Steve Lass: Re: ISE: Parallel Processing
61110: 03/09/28: Christian Zander: Sparten-IIE Configuration (Slave Parallel Mode)
    61228: 03/09/30: Andras Tantos: Re: Sparten-IIE Configuration (Slave Parallel Mode)
        61230: 03/09/30: Christian Zander: Re: Sparten-IIE Configuration (Slave Parallel Mode)
61113: 03/09/29: tk: Virtex-II Pro Equations for Finding a Bit Location
61118: 03/09/29: Aart van Beuzekom: Counting ones
    61119: 03/09/29: Aart van Beuzekom: Re: Counting ones
        61150: 03/09/29: rickman: Re: Counting ones
    61125: 03/09/29: Uwe Bonnes: Re: Counting ones
        61131: 03/09/29: Aart van Beuzekom: Re: Counting ones
            61138: 03/09/29: Uwe Bonnes: Re: Counting ones
                61201: 03/09/30: Aart van Beuzekom: Re: Counting ones
                    61202: 03/09/30: Aart van Beuzekom: Re: Counting ones
        61145: 03/09/29: John_H: Re: Counting ones
            61774: 03/10/10: John: Re: Counting ones
                61775: 03/10/10: Peter Alfke: Re: Counting ones
                    61786: 03/10/10: John_H: Re: Counting ones
                        61787: 03/10/10: John_H: Re: Counting ones
                        61788: 03/10/10: Peter Alfke: Re: Counting ones
                        61970: 03/10/15: John: Re: Counting ones
                61790: 03/10/10: John: Re: Counting ones
    61130: 03/09/29: Bertram Geiger: Re: Counting ones
        61135: 03/09/29: Glen Herrmannsfeldt: Re: Counting ones
    61140: 03/09/29: Ian Poole: Re: Counting ones
        61169: 03/09/29: Glen Herrmannsfeldt: Re: Counting ones
            61320: 03/10/02: Ulf Samuelsson: Re: Counting ones
                61336: 03/10/01: Ray Andraka: Re: Counting ones
    61148: 03/09/29: Mike Treseler: Re: Counting ones
    61170: 03/09/29: Jake Janovetz: Re: Counting ones
        61226: 03/09/30: Peter Alfke: Re: Counting ones
61121: 03/09/29: Patrick Twomey: Wirelessly Connecting two FPGA development boards (Celoxica RC100 boards)
    61173: 03/09/29: Patrick MacGregor: Re: Wirelessly Connecting two FPGA development boards (Celoxica RC100 boards)
        61221: 03/09/30: Patrick Twomey: Re: Wirelessly Connecting two FPGA development boards (Celoxica RC100 boards)
            61343: 03/10/02: Hal Murray: Re: Wirelessly Connecting two FPGA development boards (Celoxica RC100 boards)
            61352: 03/10/02: Patrick MacGregor: Re: Wirelessly Connecting two FPGA development boards (Celoxica RC100 boards)
61123: 03/09/29: Antonio: FPGA : Partial reconfiguration of virtex2
61126: 03/09/29: Vazquez: Memory Handling in Altera Cyclone devices
    61182: 03/09/30: Paul Leventis: Re: Memory Handling in Altera Cyclone devices
        61267: 03/10/01: Vazquez: Re: Memory Handling in Altera Cyclone devices
            61276: 03/10/01: Paul Leventis: Re: Memory Handling in Altera Cyclone devices
                61497: 03/10/06: Vazquez: Re: Memory Handling in Altera Cyclone devices
                    61504: 03/10/06: Simon Peacock: Re: Memory Handling in Altera Cyclone devices
                        61565: 03/10/07: Paul Leventis: Re: Memory Handling in Altera Cyclone devices
61137: 03/09/29: jose: Spartan 2e implementation
    61141: 03/09/29: Uwe Bonnes: Re: Spartan 2e implementation
61142: 03/09/29: Patrice Favreau: using the FALLING constrain with cores (coregen)
61143: 03/09/29: Reiner Abl: Xilinx configuration
    61205: 03/09/30: Reiner Abl: Re: Xilinx configuration
    61157: 03/09/29: Peter Alfke: Re: Spartan 2e implementation
        61492: 03/10/05: Jim English: Re: Spartan 2e implementation
61152: 03/09/29: bakito: development-tools under linux for altera excalibur
    61153: 03/09/29: Mike Treseler: Re: development-tools under linux for altera excalibur
    61154: 03/09/29: Neeraj Varma: Re: development-tools under linux for altera excalibur
    61160: 03/09/29: Petter Gustad: Re: development-tools under linux for altera excalibur
        61162: 03/09/29: David Hart: Re: development-tools under linux for altera excalibur
61163: 03/09/29: Robert Monsen: newbie to FPGA
    61423: 03/10/03: Dominic Suter: Re: newbie to FPGA
    61465: 03/10/04: Martin Euredjian: Re: newbie to FPGA
61168: 03/09/30: SneakerNet: USB Core (Japanese Version)
    61172: 03/09/29: cb: Re: USB Core (Japanese Version)
        61174: 03/09/30: SneakerNet: Re: USB Core (Japanese Version)
    61210: 03/09/30: Colin Jackson: Re: USB Core (Japanese Version)
        61234: 03/10/01: SneakerNet: Re: USB Core (Japanese Version)
        61244: 03/10/01: SneakerNet: Re: USB Core (Japanese Version)
            61308: 03/10/01: Jan Panteltje: Re: USB Core (Japanese Version)
        61249: 03/10/01: SneakerNet: Re: USB Core (Japanese Version)
            61289: 03/10/01: Colin Jackson: Re: USB Core (Japanese Version)
                61303: 03/10/02: SneakerNet: Re: USB Core (Japanese Version)
                    61309: 03/10/01: Uwe Bonnes: Re: USB Core (Japanese Version)
                        61314: 03/10/02: SneakerNet: Re: USB Core (Japanese Version)
                            61318: 03/10/02: Ulf Samuelsson: Re: USB Core (Japanese Version)
                    61317: 03/10/02: Ulf Samuelsson: Re: USB Core (Japanese Version)
            61315: 03/10/02: Ulf Samuelsson: Re: USB Core (Japanese Version)
                61328: 03/10/02: SneakerNet: Re: USB Core (Japanese Version)
61177: 03/09/29: eric: Xess' XSA-50 Audio Playback / SDRAM
61178: 03/09/29: H. Peter Anvin: ByteBlaster with USB<->PP adapter?
    61268: 03/10/01: Simon Peacock: Re: ByteBlaster with USB<->PP adapter?
    61279: 03/10/01: Subroto Datta: Re: ByteBlaster with USB<->PP adapter?
        61389: 03/10/02: H. Peter Anvin: Re: ByteBlaster with USB<->PP adapter?
61179: 03/09/29: Nate Goldshlag: Anybody have any experience with Altera Stratix 840 Mbps LVDS?
    61197: 03/09/30: Paul Leventis: Re: Anybody have any experience with Altera Stratix 840 Mbps LVDS?
61184: 03/09/30: Kevin Kilzer: Bit error rate
    61188: 03/09/30: Hal Murray: Re: Bit error rate
        61196: 03/09/30: Kevin Kilzer: Re: Bit error rate
            61198: 03/09/30: Paul Leventis: Re: Bit error rate
            61199: 03/09/30: Muzaffer Kal: Re: Bit error rate
            61212: 03/09/30: Marc Randolph: Re: Bit error rate
            61265: 03/10/01: Hal Murray: Re: Bit error rate
    61217: 03/09/30: Austin Lesea: Re: Bit error rate
    61408: 03/10/03: Kevin Kilzer: Re: Bit error rate
        61445: 03/10/03: H. Peter Anvin: Re: Bit error rate
61193: 03/09/30: rickman: Frustrations with Marketing
    61252: 03/09/30: Jerry: Re: Frustrations with Marketing
        61254: 03/10/01: Vinh Pham: Re: Frustrations with Marketing
            61272: 03/10/01: Ron Huizen: Re: Frustrations with Marketing
                61297: 03/10/01: Vinh Pham: Re: Frustrations with Marketing
                61307: 03/10/01: Jan Panteltje: Re: Frustrations with Marketing
                    61313: 03/10/01: Vinh Pham: Re: Frustrations with Marketing
            61290: 03/10/01: Andy Peters: Re: Frustrations with Marketing
                61298: 03/10/01: Vinh Pham: Re: Frustrations with Marketing
    61339: 03/10/01: Tom Seim: Re: Frustrations with Marketing
        61360: 03/10/02: rickman: Re: Frustrations with Marketing
            61390: 03/10/02: Tom Seim: Re: Frustrations with Marketing
61194: 03/09/30: MM: Is Xilinx Webpack 6.1 help crippled?...
    61213: 03/09/30: James Williams: Re: Is Xilinx Webpack 6.1 help crippled?...
        61214: 03/09/30: MM: Re: Is Xilinx Webpack 6.1 help crippled?...
            61216: 03/09/30: James Williams: Re: Is Xilinx Webpack 6.1 help crippled?...
                61258: 03/09/30: MM: Re: Is Xilinx Webpack 6.1 help crippled?...
                    61278: 03/10/01: MM: Re: Is Xilinx Webpack 6.1 help crippled?...
    61366: 03/10/02: MM: Re: Is Xilinx Webpack 6.1 help crippled?...
61200: 03/09/30: Allan Herriman: Xilinx XST 6.x and Verilog-2001?
    61384: 03/10/02: Steve Lass: Re: Xilinx XST 6.x and Verilog-2001?
61204: 03/09/30: Tom Tassignon: c++ lcd device driver 2vp4
61207: 03/09/30: Christian Haase: Configuration Clause, XST
61208: 03/09/30: Mc Canzee: nallatech ballynuey board
61215: 03/09/30: Thom Drake: Logic Analyzer for FPGAs
    62401: 03/10/29: philip: Re: Logic Analyzer for FPGAs
        62405: 03/10/29: Tim: Re: Logic Analyzer for FPGAs
            62506: 03/10/31: Alex Gibson: Re: Logic Analyzer for FPGAs
61220: 03/09/30: Ken: doubling clock rate does what to power consumption?
    61222: 03/09/30: Nicholas C. Weaver: Re: doubling clock rate does what to power consumption?
    61225: 03/09/30: Austin Lesea: Re: doubling clock rate does what to power consumption?
61223: 03/09/30: <bobda@cs.fau.de>: Reconfiguration via SelectMap on the RC1000
61224: 03/09/30: Javier =?iso-8859-1?Q?Fern=E1ndez?= Baldomero: ISE WebPack 6.1 Impact problem
    61247: 03/10/01: Marc Guardiani: Re: ISE WebPack 6.1 Impact problem
        61262: 03/10/01: Javier =?iso-8859-1?Q?Fern=E1ndez?= Baldomero: Re: ISE WebPack 6.1 Impact problem
            61316: 03/10/01: Marc Guardiani: Re: ISE WebPack 6.1 Impact problem
                61347: 03/10/02: Hal Murray: Re: ISE WebPack 6.1 Impact problem
                    61362: 03/10/02: Andras Tantos: Re: ISE WebPack 6.1 Impact problem
    61647: 03/10/08: Javier =?iso-8859-1?Q?Fern=E1ndez?= Baldomero: Re: ISE WebPack 6.1 Impact problem
61227: 03/09/30: jetmarc: Timing constraint for BUFG?
    61287: 03/10/01: jetmarc: Re: Timing constraint for BUFG?
61232: 03/09/30: James Williams: Implementing multiple registers with one single input output bus and address select in VHDL.
    61551: 03/10/06: Jim Lewis: Re: Implementing multiple registers with one single input output
61235: 03/09/30: B. Joshua Rosen: New version of HDLmaker available
61246: 03/09/30: John_H: Digesting runs of ones or zeros "well"
    61295: 03/10/01: rickman: Re: Digesting runs of ones or zeros "well"
        61299: 03/10/01: John_H: Re: Digesting runs of ones or zeros "well"
            61302: 03/10/01: rickman: Re: Digesting runs of ones or zeros "well"
                61363: 03/10/02: John_H: Re: Digesting runs of ones or zeros "well"
                    61372: 03/10/02: rickman: Re: Digesting runs of ones or zeros "well"
                        61629: 03/10/08: Ray Andraka: Re: Digesting runs of ones or zeros "well"
                    61451: 03/10/04: Hal Murray: Re: Digesting runs of ones or zeros "well"
                        61463: 03/10/04: John_H: Re: Digesting runs of ones or zeros "well"
                            61469: 03/10/05: Hal Murray: Re: Digesting runs of ones or zeros "well"
                                61477: 03/10/05: John_H: Re: Digesting runs of ones or zeros "well"
                                    61484: 03/10/05: Hal Murray: Re: Digesting runs of ones or zeros "well"
                                        61628: 03/10/08: Ray Andraka: Re: Digesting runs of ones or zeros "well"
                                            61636: 03/10/08: Martin Thompson: Instantiating LUTs and INIT strings [was Re: Digesting runs of ones or zeros "well"]
                                                61657: 03/10/08: Ray Andraka: Re: Instantiating LUTs and INIT strings [was Re: Digesting runs of ones
                                            61673: 03/10/08: H. Peter Anvin: Re: Digesting runs of ones or zeros "well"
                                                61682: 03/10/08: Ray Andraka: Re: Digesting runs of ones or zeros "well"
                                                    61748: 03/10/10: Tim: Re: Digesting runs of ones or zeros "well"
                                                        61754: 03/10/10: Ray Andraka: Re: Digesting runs of ones or zeros "well"
                                                            61791: 03/10/10: Ray Andraka: Re: Digesting runs of ones or zeros "well"
                                    61498: 03/10/06: Vinh Pham: Re: Digesting runs of ones or zeros "well"
                            61496: 03/10/06: Goran Bilski: Re: Digesting runs of ones or zeros "well"
                        61507: 03/10/06: Magnus Homann: Re: Digesting runs of ones or zeros "well"
                            61541: 03/10/06: John_H: Re: Digesting runs of ones or zeros "well"
                    61630: 03/10/08: Ray Andraka: Re: Digesting runs of ones or zeros "well"
    61306: 03/10/01: Vinh Pham: Re: Digesting runs of ones or zeros "well"
        61348: 03/10/02: Vinh Pham: Re: Digesting runs of ones or zeros "well"
            61364: 03/10/02: John_H: Re: Digesting runs of ones or zeros "well"
                61378: 03/10/02: Vinh Pham: Re: Digesting runs of ones or zeros "well"
    61334: 03/10/02: Martin Euredjian: Re: Digesting runs of ones or zeros "well"
        61365: 03/10/02: John_H: Re: Digesting runs of ones or zeros "well"
            61517: 03/10/06: Kolja Sulimma: Re: Digesting runs of ones or zeros "well"
                61543: 03/10/06: John_H: Re: Digesting runs of ones or zeros "well"
    61367: 03/10/02: John_H: Re: Digesting runs of ones or zeros "well"
        61370: 03/10/02: Goran Bilski: Re: Digesting runs of ones or zeros "well"
            61397: 03/10/02: John_H: Re: Digesting runs of ones or zeros "well"
                61412: 03/10/03: Goran Bilski: Re: Digesting runs of ones or zeros "well"
                    61424: 03/10/03: John_H: Re: Digesting runs of ones or zeros "well"
                        61426: 03/10/03: Goran Bilski: Re: Digesting runs of ones or zeros "well"
        61444: 03/10/03: Vinh Pham: Re: Digesting runs of ones or zeros "well"
            61464: 03/10/04: John_H: Re: Digesting runs of ones or zeros "well"
    61499: 03/10/06: Morten Leikvoll: Re: Digesting runs of ones or zeros "well"
        61520: 03/10/06: John_H: Re: Digesting runs of ones or zeros "well"
            61726: 03/10/09: Jeremy Webb: Re: Digesting runs of ones or zeros "well"
                61729: 03/10/09: John_H: Re: Digesting runs of ones or zeros "well"
                    61755: 03/10/09: Jeremy Webb: Re: Digesting runs of ones or zeros "well"


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search