Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Aug 2003

58769: 03/08/01: Yves Deweerdt: How to use a TFT screen
    58804: 03/08/01: Jon Elson: Re: How to use a TFT screen
        58899: 03/08/04: Yves Deweerdt: Re: How to use a TFT screen
58770: 03/08/01: Rob Judd: Size does matter
    58775: 03/08/01: Martin Schoeberl: Re: Size does matter
        58779: 03/08/02: Rob Judd: Re: Size does matter
            59087: 03/08/07: rickman: Re: Size does matter
    58785: 03/08/01: rickman: Re: Size does matter
        58810: 03/08/02: Rob Judd: Re: Size does matter
            58828: 03/08/02: rickman: Re: Size does matter
                58832: 03/08/02: Rob Judd: Re: Size does matter
            58846: 03/08/02: Martin Schoeberl: Re: Size does matter
    58790: 03/08/01: Nicholas C. Weaver: Re: Size does matter
        58794: 03/08/02: Rob Judd: Re: Size does matter
            58803: 03/08/01: Jon Elson: Re: Size does matter
                58831: 03/08/02: Rob Judd: Re: Size does matter
            58806: 03/08/01: Nicholas C. Weaver: Re: Size does matter
            58867: 03/08/03: Marc Van Riet: Re: Size does matter
                58870: 03/08/03: rickman: Re: Size does matter
                58873: 03/08/04: Rob Judd: Re: Size does matter
                    58877: 03/08/04: Marc Van Riet: Re: Size does matter
                        58893: 03/08/04: Rob Judd: Re: Size does matter
                            58907: 03/08/04: Paul Leventis: Re: Size does matter
                            59076: 03/08/07: Jay: Re: Size does matter
                                59108: 03/08/08: Rob Judd: Re: Size does matter
                        58901: 03/08/04: Rob Judd: Re: Size does matter
                            58992: 03/08/06: Marc Van Riet: Re: Size does matter
            59080: 03/08/07: <>: Re: Size does matter
    58802: 03/08/01: James Horn: Re: Size does matter
        58855: 03/08/02: Andrew Paule: Re: Size does matter
            58857: 03/08/03: Rob Judd: Re: Size does matter
                58863: 03/08/03: Andrew Paule: Re: Size does matter
            58860: 03/08/03: Nicholas C. Weaver: Re: Size does matter
    59038: 03/08/07: Steven K. Knapp: Re: Size does matter
        59088: 03/08/07: rickman: Re: Size does matter
            59090: 03/08/08: Jim Granville: Re: Size does matter
            59095: 03/08/08: Antti Lukats: Re: Size does matter
            59253: 03/08/13: Martin Schoeberl: Re: Size does matter
58772: 03/08/01: Ken: preventing vsimsa mgf file growth
58773: 03/08/01: <news@rtrussell.co.uk>: Design fits XC9536 but not XC9536XL
    58841: 03/08/02: Aare Tali: Re: Design fits XC9536 but not XC9536XL
        59172: 03/08/11: <news@rtrussell.co.uk>: Re: Design fits XC9536 but not XC9536XL
            59224: 03/08/12: Aare Tali: Re: Design fits XC9536 but not XC9536XL
    58842: 03/08/02: Aare Tali: Re: Design fits XC9536 but not XC9536XL
        58928: 03/08/04: Arthur: Re: Design fits XC9536 but not XC9536XL
            58957: 03/08/05: <news@rtrussell.co.uk>: Re: Design fits XC9536 but not XC9536XL
                58994: 03/08/06: Jim Granville: Re: Design fits XC9536 but not XC9536XL
                    59012: 03/08/06: <news@rtrussell.co.uk>: Re: Design fits XC9536 but not XC9536XL
                        59029: 03/08/07: Jim Granville: Re: Design fits XC9536 but not XC9536XL
58774: 03/08/01: slamwu: How to update LPM_ROM in ALTERA device quickly?
    58800: 03/08/01: Andrew Paule: Re: How to update LPM_ROM in ALTERA device quickly?
    58812: 03/08/01: Subroto Datta: Re: How to update LPM_ROM in ALTERA device quickly?
58780: 03/08/01: Terry Andersen: Speed Grade...
    58795: 03/08/01: Peter Alfke: Re: Speed Grade...
        58814: 03/08/01: Paul Leventis: Re: Speed Grade...
            58817: 03/08/01: Bob Perlman: Re: Speed Grade...
            58864: 03/08/03: Michael S: Re: Speed Grade...
58815: 03/08/01: :: Gabster ::: Ground planes on 4-layer PCB
    58824: 03/08/02: Spam Hater: Re: Ground planes on 4-layer PCB
    58844: 03/08/02: Walter Dvorak: Re: Ground planes on 4-layer PCB
        58850: 03/08/02: Andrew Paule: Re: Ground planes on 4-layer PCB
            58852: 03/08/02: :: Gabster ::: Re: Ground planes on 4-layer PCB
58835: 03/08/02: Ondrej Zoubek: NOREDUCE attribute
58845: 03/08/02: Sandeep: beginner
    58847: 03/08/02: Nicholas C. Weaver: Re: beginner
    58878: 03/08/03: Philip Freidin: Re: beginner
        58895: 03/08/04: David Hart: Re: beginner
58856: 03/08/03: William LenihanIii: Unused Pins on big Virtex-II
    58859: 03/08/03: Andrew Paule: Re: Unused Pins on big Virtex-II
        58865: 03/08/03: Marc Guardiani: Re: Unused Pins on big Virtex-II
    58866: 03/08/03: cfk: Re: Unused Pins on big Virtex-II
        58912: 03/08/04: Austin Lesea: Re: 'Virtual Grounds'
            58948: 03/08/05: Hal Murray: Re: 'Virtual Grounds'
                58962: 03/08/05: Austin Lesea: Re: 'Virtual Grounds'
                    59001: 03/08/06: Hal Murray: Re: 'Virtual Grounds'
                        59002: 03/08/06: Jim Granville: Re: 'Virtual Grounds'
58858: 03/08/03: Simon Graham: Nios Ethernet Development Kit Problems
    58945: 03/08/04: Jesse Kempa: Re: Nios Ethernet Development Kit Problems
58861: 03/08/03: zhengyu: two questions
    58868: 03/08/03: rickman: Re: two questions
        58881: 03/08/04: Jimmy Zhang: Re: two questions
        59679: 03/08/25: Ray Andraka: Re: two questions
    59073: 03/08/07: Robert Finch: Re: two questions
58869: 03/08/03: rickman: Showing my ignorance of VHDL again...
    58871: 03/08/03: FE: Re: Showing my ignorance of VHDL again...
    58872: 03/08/03: Mike Treseler: Re: Showing my ignorance of VHDL again...
        58886: 03/08/04: rickman: Re: Showing my ignorance of VHDL again...
            58911: 03/08/04: FE: Re: Showing my ignorance of VHDL again...
        58900: 03/08/04: Thomas Stanka: Re: Showing my ignorance of VHDL again...
            58904: 03/08/04: Alan Fitch: Re: Showing my ignorance of VHDL again...
            58920: 03/08/04: rickman: Re: Showing my ignorance of VHDL again...
    58896: 03/08/04: Rienk van der Scheer: Re: Showing my ignorance of VHDL again...
58874: 03/08/03: YesMann: Proasic APA300 RAM synthetisable model
58879: 03/08/03: Pacbell User: opencores.org - Question on project licensing?
    58880: 03/08/04: Tim Hubberstey: Re: opencores.org - Question on project licensing?
    58888: 03/08/03: Antti Lukats: Re: opencores.org - Question on project licensing?
    58894: 03/08/04: Rob Judd: Re: opencores.org - Question on project licensing?
    58897: 03/08/04: Martin Schoeberl: Re: opencores.org - Question on project licensing?
        58903: 03/08/04: Rob Judd: Re: opencores.org - Question on project licensing?
            58908: 03/08/04: Martin Schoeberl: Re: opencores.org - Question on project licensing?
    58926: 03/08/04: Rudolf Usselmann: Re: opencores.org - Question on project licensing?
    58927: 03/08/04: Jon Masters: Re: opencores.org - Question on project licensing?
    59022: 03/08/06: Sander Vesik: Re: opencores.org - Question on project licensing?
58883: 03/08/04: Jay: Gates Counting?
    58885: 03/08/03: Andrew Paule: Re: Gates Counting?
        58887: 03/08/04: Jay: Re: Gates Counting?
            59013: 03/08/06: Andrew Paule: Re: Gates Counting?
                59017: 03/08/06: Peter Alfke: Re: Gates Counting?
                    59019: 03/08/06: Andrew Paule: Re: Gates Counting?
                        59044: 03/08/07: Jay: Re: Gates Counting?
    58892: 03/08/03: Pankaj Rodey: Re: Gates Counting?
        58933: 03/08/04: Jon Elson: Re: Gates Counting?
    58919: 03/08/04: FE: Re: Gates Counting?
    58964: 03/08/05: Yves Deweerdt: Re: Gates Counting?
    59075: 03/08/07: Tom Kirsch: Re: Gates Counting?
58905: 03/08/04: Monib Khan: Multiple clock generations
58909: 03/08/04: Masoud Naderi: Clock recovery chip for electirical interfacing of LXT6155 to SPECTRA chip
58910: 03/08/04: Amontec Team: LCD and step-up DC-DC converter.
    58938: 03/08/04: Symon: Re: LCD and step-up DC-DC converter.
    58947: 03/08/05: Rob Judd: Re: LCD and step-up DC-DC converter.
58917: 03/08/04: peter_b: interface with 860
58918: 03/08/04: Jon Masters: Xuart Lite Linux driver
    58937: 03/08/05: John Williams: Re: Xuart Lite Linux driver
        58942: 03/08/05: Jon Masters: Re: Xuart Lite Linux driver
            58944: 03/08/05: John Williams: Re: Xuart Lite Linux driver
                58955: 03/08/05: Jon Masters: Re: Xuart Lite Linux driver
                    58956: 03/08/05: Jon Masters: Re: Xuart Lite Linux driver
                        58989: 03/08/06: John Williams: Re: Xuart Lite Linux driver
                    58971: 03/08/05: Peter Ryser: Re: Xuart Lite Linux driver
                        58979: 03/08/05: Jon Masters: Re: Xuart Lite Linux driver
                            58982: 03/08/05: Peter Ryser: Re: Xuart Lite Linux driver
    58972: 03/08/05: Peter Ryser: Re: Xuart Lite Linux driver
        58980: 03/08/05: Jon Masters: Re: Xuart Lite Linux driver
            58983: 03/08/05: Peter Ryser: Re: Xuart Lite Linux driver
                58988: 03/08/06: John Williams: Re: Xuart Lite Linux driver
                    58995: 03/08/05: Peter Ryser: Re: Xuart Lite Linux driver
                        58997: 03/08/06: John Williams: Re: Xuart Lite Linux driver
                            59005: 03/08/06: Jon Masters: Re: Xuart Lite Linux driver
                        59031: 03/08/06: Peter Ryser: Re: Xuart Lite Linux driver
                            59074: 03/08/07: Jon Masters: Re: Xuart Lite Linux driver
58925: 03/08/04: rickman: More VHDL issues..
    58946: 03/08/04: rickman: Re: More VHDL issues..
        58953: 03/08/05: Alan Fitch: Re: More VHDL issues..
            58967: 03/08/05: rickman: Re: More VHDL issues.. with ModelSim
                59003: 03/08/06: Alan Fitch: Re: More VHDL issues.. with ModelSim
                59015: 03/08/06: Brian Drummond: Re: More VHDL issues.. with ModelSim
                    59025: 03/08/06: rickman: Re: More VHDL issues.. with ModelSim
58931: 03/08/04: Guenter Wolpert: how to protect own IP in Xilinx ISE
    58943: 03/08/04: Jim Wu: Re: how to protect own IP in Xilinx ISE
58940: 03/08/05: John Williams: [ANN] uClinux Microblaze Update
58941: 03/08/04: y_p_w: Patent granted for "system on a chip" framework?
    58960: 03/08/05: Austin Lesea: Re: Patent granted for "system on a chip" framework?
        58968: 03/08/05: y_p_w: Re: Patent granted for "system on a chip" framework?
        58974: 03/08/05: Kolja Sulimma: Re: Patent granted for "system on a chip" framework?
        59079: 03/08/07: Brad Eckert: Re: Patent granted for "system on a chip" framework?
            59081: 03/08/08: Jim Granville: Re: Patent granted for "system on a chip" framework?
    58965: 03/08/05: Jerry: Re: Patent granted for "system on a chip" framework?
        59020: 03/08/06: Rudolf Usselmann: Re: Patent granted for "system on a chip" framework?
    58966: 03/08/05: Jonathan Bromley: Re: Patent granted for "system on a chip" framework?
        59036: 03/08/06: Martin Euredjian: Re: Patent granted for "system on a chip" framework?
            59064: 03/08/07: y_p_w: Re: Patent granted for "system on a chip" framework?
                59065: 03/08/07: Martin Euredjian: Re: Patent granted for "system on a chip" framework?
                    59082: 03/08/07: y_p_w: Re: Patent granted for "system on a chip" framework?
58950: 03/08/04: Wilhelm Klink: Multiple device configuration using local update over ethernet
    58951: 03/08/05: Valeria Dal Monte: Re: Multiple device configuration using local update over ethernet
        58996: 03/08/05: Wilhelm Klink: Re: Multiple device configuration using local update over ethernet
            59325: 03/08/14: Greg Steinke: Re: Multiple device configuration using local update over ethernet
58952: 03/08/05: Jay: Conflict found between ActiveHDL6.1 and ModelSim SE
    58978: 03/08/05: Paul Baxter: Re: Conflict found between ActiveHDL6.1 and ModelSim SE
        58999: 03/08/06: Jay: Re: Conflict found between ActiveHDL6.1 and ModelSim SE
58954: 03/08/05: Rob Judd: JTAG programmers
    58958: 03/08/05: Andrew Paule: Re: JTAG programmers
        58987: 03/08/06: Rob Judd: Re: JTAG programmers
    58961: 03/08/05: Austin Lesea: Re: JTAG programmers
        59045: 03/08/07: Rob Judd: Re: JTAG programmers
    59014: 03/08/07: Tony Burch: Re: JTAG programmers
58959: 03/08/05: jgraham: retiming with Synplify Pro
    58970: 03/08/05: Alan Nishioka: Re: retiming with Synplify Pro
58963: 03/08/05: <io@duke.edu>: model sim block ram sim
    58977: 03/08/05: Mike Treseler: Re: model sim block ram sim
    58981: 03/08/05: Jim Wu: Re: model sim block ram sim
        58985: 03/08/05: Peter Alfke: Re: model sim block ram sim
    58986: 03/08/05: <io@duke.edu>: Re: model sim block ram sim
    58990: 03/08/05: Iyad Obeid: Re: model sim block ram sim
    58991: 03/08/05: Peter Alfke: Re: model sim block ram sim
58975: 03/08/05: Christian Obel: Block ram simulation
    58976: 03/08/05: Mike Treseler: Re: Block ram simulation
        58984: 03/08/05: Peter Alfke: Re: Block ram simulation
        59007: 03/08/06: Bo Esbech: Re: Block ram simulation
            59112: 03/08/08: Ian Poole: Re: Block ram simulation
                59113: 03/08/08: Bo Esbech: Re: Block ram simulation
58993: 03/08/06: Richard B. Katz: 6th MAPLD: End of Early Registration and Program Announcement
58998: 03/08/06: John: How to use EAB in Altera FPGA?
    59000: 03/08/05: rickman: Re: How to use EAB in Altera FPGA?
        59021: 03/08/06: Prasanna: Re: How to use EAB in Altera FPGA?
            59026: 03/08/06: rickman: Re: How to use EAB in Altera FPGA?
        59024: 03/08/06: Jim Wu: Re: How to use EAB in Altera FPGA?
            59027: 03/08/06: Jim Wu: Re: How to use EAB in Altera FPGA?
            59028: 03/08/06: rickman: Re: How to use EAB in Altera FPGA?
59004: 03/08/06: Beeson Wong: Questions in Altera FPGA MegaCore Compact-PCI Configuration Space under Windows NT
    59010: 03/08/06: Paul Burke: Re: Questions in Altera FPGA MegaCore Compact-PCI Configuration Space
59008: 03/08/06: Michelle: ERROR:iMPACT:1210
59011: 03/08/06: Michael Attenborough: Behaviour of Xilinx 'LDC' cell - simulation model doesn't match documentation
59016: 03/08/06: Maxlim: Memory map for Nios
59018: 03/08/06: Ken Land: Using 3rd Party IP Cores...
    59023: 03/08/06: Mike Treseler: Re: Using 3rd Party IP Cores...
    59042: 03/08/06: Patrick MacGregor: Re: Using 3rd Party IP Cores...
59030: 03/08/06: Robert Finch: power saving condition test ?
    59033: 03/08/06: Jim Wu: Re: power saving condition test ?
        59037: 03/08/06: Robert Finch: Re: power saving condition test ?
            59054: 03/08/07: Jim Wu: Re: power saving condition test ?
59032: 03/08/06: Jim Wu: Does Xilinx Webpack 5.2 work on WinNT SP6?
    59040: 03/08/07: Neeraj Varma: Re: Does Xilinx Webpack 5.2 work on WinNT SP6?
    59046: 03/08/07: Giuseppe³: Re: Does Xilinx Webpack 5.2 work on WinNT SP6?
        59063: 03/08/07: Steve Lass: Re: Does Xilinx Webpack 5.2 work on WinNT SP6?
59034: 03/08/06: Neil Zanella: FPGAs: basic question: two-level AND-OR vs. two-level OR-AND
    59035: 03/08/06: Peter Alfke: Re: FPGAs: basic question: two-level AND-OR vs. two-level OR-AND
59043: 03/08/06: Stephen Williams: Tool chains that take in EDIF 2 0 0/LPM 2 1 0
59047: 03/08/07: Prasanth Kumar: Confusing Xilinx Webpack warning
    59048: 03/08/07: Muzaffer Kal: Re: Confusing Xilinx Webpack warning
    59105: 03/08/08: Robert Finch: Re: Confusing Xilinx Webpack warning
59049: 03/08/07: Martin Euredjian: OT: Offshore engineering
    59052: 03/08/07: Simon Peacock: Re: Offshore engineering
    59059: 03/08/07: Steve Casselman: Re: Offshore engineering
        59067: 03/08/07: Martin Euredjian: Re: Offshore engineering
            59069: 03/08/07: Sander Vesik: Re: Offshore engineering
                59083: 03/08/08: Joseph H Allen: Re: Offshore engineering
    59136: 03/08/09: an: Re: Offshore engineering
    59146: 03/08/10: Lorenzo Lutti: Re: Offshore engineering
        59153: 03/08/10: Martin Euredjian: Re: Offshore engineering
            59155: 03/08/10: Lorenzo Lutti: Re: Offshore engineering
                59199: 03/08/12: xno: Re: Offshore engineering
59050: 03/08/07: max: Xilinx ISE WebPack 5.2 & VHDL : wait synthesis
    59055: 03/08/07: Amontec Team, Laurent Gauch: Re: Xilinx ISE WebPack 5.2 & VHDL : wait synthesis
        59089: 03/08/07: rickman: Re: Xilinx ISE WebPack 5.2 & VHDL : wait synthesis
59051: 03/08/07: Isaac: Error Generate Statement
    59053: 03/08/07: Ansgar Bambynek: Re: Error Generate Statement
    59068: 03/08/07: Lis Hu: Re: Error Generate Statement
    59070: 03/08/07: Sandeep: Re: Error Generate Statement
        59071: 03/08/07: Keith Williams: Re: Error Generate Statement
            59077: 03/08/07: Mike Treseler: Re: Error Generate Statement
59056: 03/08/07: Muhammad Khan: Xilinx Error Msg- Help Required
59057: 03/08/07: Eric: Spartan-IIE LVDS?
    59062: 03/08/07: Peter Alfke: Re: Spartan-IIE LVDS?
        59094: 03/08/07: Eric: Re: Spartan-IIE LVDS?
            59101: 03/08/08: dave garnett: Re: Spartan-IIE LVDS?
            59111: 03/08/08: Steven K. Knapp: Re: Spartan-IIE LVDS?
59058: 03/08/07: Zhen: How to find the intersection of two vectors?
    59060: 03/08/07: John_H: Re: How to find the intersection of two vectors?
        59066: 03/08/07: Jim Wu: Re: How to find the intersection of two vectors?
59061: 03/08/07: Andrea: Excalibur - lpm_syncram
    59124: 03/08/08: Subroto Datta: Re: Excalibur - lpm_syncram
        59159: 03/08/11: Andrea: Re: Excalibur - lpm_syncram
            59222: 03/08/12: Subroto Datta: Re: Excalibur - lpm_syncram
59072: 03/08/07: <Bob_Myers@raytheon.com>: Need help: getting 3.1i Coregen working on P4-system
    59078: 03/08/07: marlboro: Re: Need help: getting 3.1i Coregen working on P4-system
    59100: 03/08/08: Brian Drummond: Re: Need help: getting 3.1i Coregen working on P4-system
59084: 03/08/07: Sriram: Post synthesis(PAR) Simln in Xilinx WEbPack 5.2: Port Mismatch error
59085: 03/08/08: Jimmy Zhang: fast read, slow write memory
59086: 03/08/07: Ken Jaramillo: Quartus II and fixing hold timing
    59091: 03/08/07: rickman: Re: Quartus II and fixing hold timing
    59092: 03/08/07: Mike Treseler: Re: Quartus II and fixing hold timing
    59267: 03/08/13: Vaughn Betz: Re: Quartus II and fixing hold timing
        59314: 03/08/14: Vaughn Betz: Re: Quartus II and fixing hold timing
59096: 03/08/08: Aart van Beuzekom: Upgrading OS or WebPack
    59109: 03/08/08: Rob Judd: Re: Upgrading OS or WebPack
        59160: 03/08/11: Aart van Beuzekom: Re: Upgrading OS or WebPack
            59161: 03/08/11: Ralph Mason: Re: Upgrading OS or WebPack
                59334: 03/08/15: Aart van Beuzekom: Re: Upgrading OS or WebPack
            59165: 03/08/11: Paul Leventis: Re: Upgrading OS or WebPack
                59174: 03/08/11: Stephen Williams: Re: Upgrading OS or WebPack
                    59194: 03/08/12: Jim Granville: Re: Upgrading OS or WebPack
                        59198: 03/08/12: rickman: Re: Upgrading OS or WebPack
            59166: 03/08/11: Jim Wu: Re: Upgrading OS or WebPack
    59117: 03/08/08: Josep Duran: Re: Upgrading OS or WebPack
    59125: 03/08/08: rickman: Re: Upgrading OS or WebPack
    59215: 03/08/12: B. Joshua Rosen: Re: Upgrading OS or WebPack
        59221: 03/08/12: rickman: Re: Upgrading OS or WebPack
            59232: 03/08/12: Steve Lass: Re: Upgrading OS or WebPack
                59242: 03/08/13: David Brown: Re: Upgrading OS or WebPack
                    59266: 03/08/13: Stephen Williams: Re: Upgrading OS or WebPack
59097: 03/08/08: jean-francois hasson: Clocking in a virtex 2 without using the clock trees : questions
    59116: 03/08/08: Peter Alfke: Re: Clocking in a virtex 2 without using the clock trees : questions
        59186: 03/08/11: jean-francois hasson: Re: Clocking in a virtex 2 without using the clock trees : questions
59098: 03/08/08: serdar: I am new and I want to help
    59128: 03/08/08: Al Williams: Re: I am new and I want to help
        59145: 03/08/10: Rob Judd: Re: I am new and I want to help
    59138: 03/08/09: Jean Nicolle: Re: I am new and I want to help
59099: 03/08/08: YesMann: Compilation error
    59102: 03/08/08: Jonathan Bromley: Re: Compilation error
        59106: 03/08/08: YesMann: Re: Compilation error
            59107: 03/08/08: Jonathan Bromley: Re: Compilation error
59104: 03/08/08: Stefan Tillich: Virtex-E power trace
    59110: 03/08/08: Andrew Paule: Re: Virtex-E power trace
    59114: 03/08/08: Peter Alfke: Re: Virtex-E power trace
59118: 03/08/08: Paul Gentieu: Virtex-II RocketIO: Serial ATA?
    59127: 03/08/08: Andrew Paule: Re: Virtex-II RocketIO: Serial ATA?
        59135: 03/08/09: David Rogoff: Re: Virtex-II RocketIO: Serial ATA?
            59137: 03/08/09: Andrew Paule: Re: Virtex-II RocketIO: Serial ATA?
    59142: 03/08/10: Andrew Paule: Re: Virtex-II RocketIO: Serial ATA?
59119: 03/08/08: John Campbell: reconfiguration time
    59123: 03/08/08: Peter Alfke: Re: reconfiguration time
59120: 03/08/08: Don S: speeding up quartus
    59126: 03/08/08: Mike Treseler: Re: speeding up quartus
    59129: 03/08/09: Paul Leventis: Re: speeding up quartus
        59147: 03/08/10: Paul Baxter: Re: speeding up quartus
        59212: 03/08/12: Don S: Re: speeding up quartus
            59230: 03/08/12: Ben Twijnstra: Re: speeding up quartus
    59244: 03/08/13: Ian McCrum, MI5AFL: Re: speeding up quartus
59121: 03/08/08: cfk: Synopsys search path
59130: 03/08/09: dont_reply: Xilinx Webpack ISE and Verilog-2001?
    59131: 03/08/09: Paul Leventis: Re: Xilinx Webpack ISE and Verilog-2001?
    59168: 03/08/11: Chen Wei Tseng: Re: Xilinx Webpack ISE and Verilog-2001?
    59382: 03/08/18: Peter Mash: Re: Xilinx Webpack ISE and Verilog-2001?
59132: 03/08/09: Ronny Hengst: from Altera to Xilinx
    59139: 03/08/10: Simon Peacock: Re: from Altera to Xilinx
59133: 03/08/09: Michael Chan: DDR-ram interface (xapp200)
    59134: 03/08/09: Bob: Re: DDR-ram interface (xapp200)
        59156: 03/08/11: Michael Chan: Re: DDR-ram interface (xapp200)
    59170: 03/08/11: Steven K. Knapp: Re: DDR-ram interface (xapp200)
59140: 03/08/10: Charles Stuart: Xilinx virtex II DCM CLKFX output not working
    59141: 03/08/10: Bob: Re: Xilinx virtex II DCM CLKFX output not working
    59143: 03/08/10: Martin Euredjian: Re: Xilinx virtex II DCM CLKFX output not working
59144: 03/08/10: John Bowen: FPGA for a Newcomer
    59148: 03/08/10: Phil Hays: Re: FPGA for a Newcomer
    59154: 03/08/11: Ralph Mason: Re: FPGA for a Newcomer
    59173: 03/08/11: Martin Euredjian: Re: FPGA for a Newcomer
    59196: 03/08/11: John Bowen: Re: FPGA for a Newcomer
59149: 03/08/10: Zhen: a quick searching problem
    59150: 03/08/10: Nicholas C. Weaver: Re: a quick searching problem
    59151: 03/08/10: Jim Wu: Re: a quick searching problem
    59175: 03/08/11: Martin Euredjian: Re: a quick searching problem
        59191: 03/08/11: Jim Wu: Re: a quick searching problem
    59187: 03/08/11: John Handwork: Re: a quick searching problem
59152: 03/08/10: Roger: Atmel CPLD programming tools
    59158: 03/08/10: Antti Lukats: Re: Atmel CPLD programming tools
59157: 03/08/10: venkata krishnnan: FPGA advantage 5.3 & unisim package
    59164: 03/08/11: Peter Rauschert: Re: FPGA advantage 5.3 & unisim package
59163: 03/08/11: Bart De Zwaef: inconsistent DCM delay from TRCE report ?
59167: 03/08/11: Robert: Pad-to-pad hold time
    59177: 03/08/11: Peter Alfke: Re: Pad-to-pad hold time
59169: 03/08/11: Tom Hawkins: ANN: Confluence 0.6
59171: 03/08/11: public pc: VME FPGA master slave system
59176: 03/08/11: Mikhail Matusov: Q: async flip-flop reset by a signal from a different clock domain
    59178: 03/08/11: Peter Alfke: Re: Q: async flip-flop reset by a signal from a different clock domain
    59180: 03/08/11: John Eaton: Re: Q: async flip-flop reset by a signal from a different clock domain
    59181: 03/08/11: Avrum: Re: async flip-flop reset by a signal from a different clock domain
        59184: 03/08/11: Peter Alfke: Re: async flip-flop reset by a signal from a different clock domain
            59185: 03/08/11: Avrum: Re: async flip-flop reset by a signal from a different clock domain
                59240: 03/08/12: Muthu: Re: async flip-flop reset by a signal from a different clock domain
    59183: 03/08/11: rickman: Re: Q: async flip-flop reset by a signal from a different clock domain
        59216: 03/08/12: Mike M: Re: Q: async flip-flop reset by a signal from a different clock domain
            59243: 03/08/13: Martin Thompson: News server for posting [was Re: Q: async flip-flop reset by a signal from a different clock domain]
                59247: 03/08/13: Neeraj Varma: Re: News server for posting [was Re: Q: async flip-flop reset by a signal from a different clock domain]
59179: 03/08/11: lenz: Virtex "Virtual VCC"
    59182: 03/08/11: Peter Alfke: Re: Virtex "Virtual VCC"
        59207: 03/08/12: lenz: Re: Virtex "Virtual VCC"
            59217: 03/08/12: Peter Alfke: Re: Virtex "Virtual VCC"
59188: 03/08/11: rickman: Win2k service packs for running Xilinx tools
    59189: 03/08/11: rickman: Re: Win2k service packs for running Xilinx tools
        59200: 03/08/12: Martin Euredjian: Re: Win2k service packs for running Xilinx tools
            59204: 03/08/12: Ian Poole: Re: Win2k service packs for running Xilinx tools
                59591: 03/08/22: Adric Frost: Re: Win2k service packs for running Xilinx tools
    59220: 03/08/12: Brannon King: Re: Win2k service packs for running Xilinx tools
59190: 03/08/11: sarah shen: questions about PCI implemented in Actel APA300
59192: 03/08/11: Joona R: Cyclone's LVDS and Quartus II
    59270: 03/08/13: Subroto Datta: Re: Cyclone's LVDS and Quartus II
59193: 03/08/11: Jon Elson: Xilinx ISE error
    59235: 03/08/12: Marc Guardiani: Re: Xilinx ISE error
59195: 03/08/11: Jason Berringer: Webpack sees 2 clocks when there is only one
    59202: 03/08/12: Ian Poole: Re: Webpack sees 2 clocks when there is only one
    59210: 03/08/12: Jim: Re: Webpack sees 2 clocks when there is only one
        59233: 03/08/12: Jason Berringer: Re: Webpack sees 2 clocks when there is only one
    59219: 03/08/12: Andy Peters: Re: Webpack sees 2 clocks when there is only one
59197: 03/08/12: Jim Wu: Data Structure Viewer
    59346: 03/08/15: Mike Treseler: Re: Data Structure Viewer
    59380: 03/08/18: Arvind Kumar: Re: Data Structure Viewer
59205: 03/08/12: Thomas: Yet another modelsim problem
    59290: 03/08/14: K Mussatt: Re: Yet another modelsim problem
59206: 03/08/12: Neeraj Varma: PalmChip Patent
    59208: 03/08/12: Jonathan Bromley: Re: PalmChip Patent
        59214: 03/08/12: Nicholas C. Weaver: Re: PalmChip Patent
            59231: 03/08/13: Steve Casselman: Re: PalmChip Patent
                59234: 03/08/13: Nicholas C. Weaver: Re: PalmChip Patent
                    59236: 03/08/13: Steve Casselman: Re: PalmChip Patent
                        59238: 03/08/13: Nicholas C. Weaver: Re: PalmChip Patent
59209: 03/08/12: Atif: Non volatile implementation of Xc2s100
    59218: 03/08/12: Peter Alfke: Re: Non volatile implementation of Xc2s100
59211: 03/08/12: Maxlim: Nios Clock Frequency
    59213: 03/08/12: Ken Land: Re: Nios Clock Frequency
        59228: 03/08/12: Ben Twijnstra: Re: Nios Clock Frequency
            59250: 03/08/13: Kenneth Land: Re: Nios Clock Frequency
                59282: 03/08/13: Ben Twijnstra: Re: Nios Clock Frequency
    59229: 03/08/12: Ben Twijnstra: Re: Nios Clock Frequency
    59237: 03/08/12: Jesse Kempa: Re: Nios Clock Frequency
        59241: 03/08/13: Maxlim: Re: Nios Clock Frequency
        59254: 03/08/13: Kenneth Land: Re: Nios Clock Frequency
59223: 03/08/12: Colin Jackson: Datasheet for National PAL20L10
    59225: 03/08/12: Peter Alfke: Re: Datasheet for National PAL20L10
    59257: 03/08/13: Valeria Dal Monte: Re: Datasheet for National PAL20L10
        59259: 03/08/13: Uwe Bonnes: Re: Datasheet for National PAL20L10
            59273: 03/08/13: Valeria Dal Monte: Re: Datasheet for National PAL20L10
        59262: 03/08/13: Colin Jackson: Re: Datasheet for National PAL20L10
            59809: 03/08/28: Jeff Seltzer: Re: Datasheet for National PAL20L10
                59820: 03/08/28: Colin Jackson: Re: Datasheet for National PAL20L10
59226: 03/08/12: Ken Morrow: Xilinx DLL driving multiple off chip clocks
    59248: 03/08/13: Ken Morrow: Re: Xilinx DLL driving multiple off chip clocks
        59272: 03/08/13: Marc Randolph: Re: Xilinx DLL driving multiple off chip clocks
            59408: 03/08/18: Ken Morrow: Re: Xilinx DLL driving multiple off chip clocks
    59271: 03/08/13: Martin Euredjian: Re: Xilinx DLL driving multiple off chip clocks
        59455: 03/08/19: Ken Morrow: Re: Xilinx DLL driving multiple off chip clocks
59227: 03/08/12: Jon Masters: Update on Virtex II Pro Linux
    59281: 03/08/14: John Williams: Re: Update on Virtex II Pro Linux
        59291: 03/08/13: Antti Lukats: Re: Update on Virtex II Pro Linux
            59292: 03/08/14: John Williams: Re: Update on Virtex II Pro Linux
            59931: 03/09/01: Peter Ryser: Re: Update on Virtex II Pro Linux
59239: 03/08/12: Nyoman Yani H: Virtex: Foundation 3.1 Error
59245: 03/08/13: Chris: Limitations of Quartus II V3.0 Web
    59246: 03/08/13: Valeria Dal Monte: Re: Limitations of Quartus II V3.0 Web
    59276: 03/08/13: Paul Baxter: Re: Limitations of Quartus II V3.0 Web
    59279: 03/08/13: Subroto Datta: Re: Limitations of Quartus II V3.0 Web
        59280: 03/08/13: CB: Re: Limitations of Quartus II V3.0 Web
            59286: 03/08/14: leon qin: Re: Limitations of Quartus II V3.0 Web
59249: 03/08/13: Isaac: Error please Help
    59251: 03/08/13: Jim Wu: Re: Error please Help
    59256: 03/08/13: Ian Poole: Re: Error please Help
        59258: 03/08/13: Egbert Molenkamp: Re: Error please Help
        59261: 03/08/13: Jim Wu: Re: Error please Help
59252: 03/08/13: Rienk van der Scheer: Performance of STAPL player on embedded systems
59255: 03/08/13: Atif: Xilinx Platform flash prom price
    59260: 03/08/13: Uwe Bonnes: Re: Xilinx Platform flash prom price
59263: 03/08/13: sarah: Actel Core PCI
    59295: 03/08/14: Thomas Stanka: Re: Actel Core PCI
59264: 03/08/14: Davo: XILINX FPGA project
59265: 03/08/13: Jack Seredyniecki: Re: Can i trace client activity?
59268: 03/08/13: Tal Lachmann: PCI on Virtex II Pro
59269: 03/08/13: Tal Lachmann: PCI on Virtex II Pro (corrected)
59274: 03/08/13: Seung: FPGA/DSP Expert - business partner for innovative FFT
    59275: 03/08/13: Clay S. Turner: Re: FPGA/DSP Expert - business partner for innovative FFT
        59294: 03/08/14: Seung: Re: FPGA/DSP Expert - business partner for innovative FFT
        59315: 03/08/14: Jon Harris: Re: FPGA/DSP Expert - business partner for innovative FFT
            59317: 03/08/14: Bhaskar Thiagarajan: Off topic - Re: FPGA/DSP Expert - business partner for innovative FFT
                59324: 03/08/14: Jon Harris: Re: Off topic - Re: FPGA/DSP Expert - business partner for innovative FFT
                    59351: 03/08/15: Bhaskar Thiagarajan: Re: Off topic - Re: FPGA/DSP Expert - business partner for innovative FFT
                59899: 03/09/01: Hal Murray: Re: Off topic - Re: FPGA/DSP Expert - business partner for innovative FFT
            59328: 03/08/14: Seung: Re: FPGA/DSP Expert - business partner for innovative FFT
            59352: 03/08/15: Jaime Andres Aranguren Cardona: Re: FPGA/DSP Expert - business partner for innovative FFT
    59972: 03/09/02: Ray Andraka: Re: FPGA/DSP Expert - business partner for innovative FFT
        59975: 03/09/02: Seung: Re: FPGA/DSP Expert - business partner for innovative FFT
            60037: 03/09/04: Arrigo Benedetti: Re: FPGA/DSP Expert - business partner for innovative FFT
                60058: 03/09/04: Tom Seim: Re: FPGA/DSP Expert - business partner for innovative FFT
                    60084: 03/09/04: Arrigo Benedetti: Re: FPGA/DSP Expert - business partner for innovative FFT
                60074: 03/09/04: Seung: Re: FPGA/DSP Expert - business partner for innovative FFT
59277: 03/08/13: jean-francois hasson: Skew on a clock tree on a virtex II : what is the good figure ?
    59278: 03/08/13: Austin Lesea: Re: Skew on a clock tree on a virtex II : what is the good figure ?
        59296: 03/08/14: jean-francois hasson: Re: Skew on a clock tree on a virtex II : what is the good figure ?
            59304: 03/08/14: Austin Lesea: Re: Skew on a clock tree on a virtex II : what is the good figure ?
                59310: 03/08/14: Austin Lesea: Re: Skew on a clock tree on a virtex II : what is the good figure ?
                    59376: 03/08/18: louis lin: Re: Skew on a clock tree on a virtex II : what is the good figure ?
                        59391: 03/08/18: Austin Lesea: Re: Skew on a clock tree on a virtex II : what is the good figure ?
                    59467: 03/08/20: louis lin: Re: Skew on a clock tree on a virtex II : what is the good figure ?
                        59479: 03/08/20: Austin Lesea: Re: Skew on a clock tree on a virtex II : what is the good figure ?
                            59525: 03/08/21: louis lin: Re: Skew on a clock tree on a virtex II : what is the good figure ?
                                59548: 03/08/21: Austin Lesea: Re: Skew on a clock tree on a virtex II : what is the good figure ?
59283: 03/08/13: PD: Proto-Board with VirtexII and multiple DDR SDRAM banks?
    59316: 03/08/14: Philip Freidin: Re: Proto-Board with VirtexII and multiple DDR SDRAM banks?
59284: 03/08/13: Jeff Sampson: Old Xilinx FPGAs
    59300: 03/08/14: Antti Lukats: Re: Old Xilinx FPGAs
        59327: 03/08/15: Rob Judd: Re: Old Xilinx FPGAs
    59305: 03/08/14: Austin Lesea: Re: Old Xilinx FPGAs
        59318: 03/08/14: Symon: Re: Old Xilinx FPGAs
            59319: 03/08/14: Jeff Sampson: Re: Old Xilinx FPGAs
                59322: 03/08/14: Larry Doolittle: Re: Old Xilinx FPGAs
                    59323: 03/08/14: Jeff Sampson: Re: Old Xilinx FPGAs
                59354: 03/08/15: Eric Smith: Re: Old Xilinx FPGAs
                    59357: 03/08/15: Jeff Sampson: Re: Old Xilinx FPGAs
                        59359: 03/08/15: rickman: Re: Old Xilinx FPGAs
                            59360: 03/08/15: Peter Wallace: Re: Old Xilinx FPGAs
                        59364: 03/08/16: Eric Smith: Re: Old Xilinx FPGAs
                        59397: 03/08/18: Peter Alfke: Re: Old Xilinx FPGAs
            59320: 03/08/14: Peter Alfke: Re: Old Xilinx FPGAs
                59416: 03/08/18: Ray Andraka: Re: Old Xilinx FPGAs
                    59565: 03/08/21: Joseph H Allen: Re: Old Xilinx FPGAs
                        59583: 03/08/22: rickman: Re: Old Xilinx FPGAs
                59564: 03/08/21: Joseph H Allen: Re: Old Xilinx FPGAs
    59307: 03/08/14: Peter Alfke: Re: Old Xilinx FPGAs
        59368: 03/08/17: Loi Tran: Re: Old Xilinx FPGAs
    59331: 03/08/15: Ian Poole: Re: Old Xilinx FPGAs
        59339: 03/08/15: Steve Lass: Re: Old Xilinx FPGAs
59285: 03/08/14: Jay: Anyone familiar with ispXPLD?
    59403: 03/08/18: Paul Sereno: Re: Anyone familiar with ispXPLD?
        59422: 03/08/19: Jay: Re: Anyone familiar with ispXPLD?
            59426: 03/08/18: Paul Sereno: Re: Anyone familiar with ispXPLD?
                59431: 03/08/19: Jay: Re: Anyone familiar with ispXPLD?
                    59511: 03/08/20: Andy Peters: Re: Anyone familiar with ispXPLD?
                59445: 03/08/19: Paul Sereno: Re: Anyone familiar with ispXPLD?
59288: 03/08/14: Kevin Kilzer: Spartan II IOB in VHDL
59289: 03/08/13: J-Wing: Memory map in Altera NIOS
    59348: 03/08/15: Jesse Kempa: Re: Memory map in Altera NIOS
59293: 03/08/14: Davo: Sorry: XLA5 Vs. XS series
59297: 03/08/14: Andrea: LogicLock flow
    59298: 03/08/14: Paul Leventis: Re: LogicLock flow
59299: 03/08/14: Antti Lukats: Actel: Libero/Synplify "Run" button disabled
    59308: 03/08/14: Ken McElvain: Re: Actel: Libero/Synplify "Run" button disabled
        59311: 03/08/14: ns: Re: Actel: Libero/Synplify "Run" button disabled
59301: 03/08/14: Debashish: Problem with Modelsim Lisence server...
    59340: 03/08/15: Mike Treseler: Re: Problem with Modelsim Lisence server...
        59379: 03/08/17: Debashish: Re: Problem with Modelsim Lisence server...
            59418: 03/08/18: Prasanna: Re: Problem with Modelsim Lisence server...
59302: 03/08/14: Abhinav: Modelsim : Error code 3601
    59303: 03/08/14: Jonathan Bromley: Re: Modelsim : Error code 3601
59306: 03/08/14: Jeremy Whatley: Virtex II Output Impedance
    59309: 03/08/14: Austin Lesea: Re: Virtex II Output Impedance
        59312: 03/08/14: Andrew Paule: Re: Virtex II Output Impedance
            59313: 03/08/14: Austin Lesea: Re: Virtex II Output Impedance
                59361: 03/08/16: Andrew Paule: Re: Virtex II Output Impedance
                    59390: 03/08/18: Austin Lesea: Re: Virtex II Output Impedance
59321: 03/08/14: Slawek: Problems with ModelSim (Atmel's System Designer)
    59329: 03/08/15: Alan Fitch: Re: Problems with ModelSim (Atmel's System Designer)
        59350: 03/08/15: Slawek: Re: Problems with ModelSim (Atmel's System Designer)
    59347: 03/08/15: Mike Treseler: Re: Problems with ModelSim (Atmel's System Designer)
        59369: 03/08/17: Slawek: Re: Problems with ModelSim (Atmel's System Designer)
59326: 03/08/14: Brad Smallridge: Replacement for Cypress Delta 39K part
    59349: 03/08/15: Spam Hater 7: Re: Replacement for Cypress Delta 39K part
        59355: 03/08/15: Brad Smallridge: Re: Replacement for Cypress Delta 39K part
59330: 03/08/15: Basuki Endah Priyanto: Free VHDL Simulator
    59332: 03/08/15: Ian Poole: Re: Free VHDL Simulator
    59335: 03/08/15: Serg_Y: Re: Free VHDL Simulator
    59337: 03/08/15: Nial Stewart: Re: Free VHDL Simulator
    59342: 03/08/15: Leon Heller: Re: Free VHDL Simulator
    59345: 03/08/15: Stephen Williams: Re: Free VHDL Simulator
59333: 03/08/15: Jan Buytaert: jamplayer on WinXP ?
    59336: 03/08/15: Rienk van der Scheer: Re: jamplayer on WinXP ?
59341: 03/08/15: Colin Jackson: Re: comp.lang.vhdl
59343: 03/08/15: Arie Zychlinski: comp.lang.vhdl
59344: 03/08/15: walala: where can I find DWT source code(verilog or vhdl)?
59353: 03/08/15: Colin Jackson: VHDL for FPGA VME Slave
    59385: 03/08/18: Jonathan Bromley: Re: VHDL for FPGA VME Slave
    59419: 03/08/18: Tullio Grassi: Re: VHDL for FPGA VME Slave
59356: 03/08/15: Brad Eckert: ANN: Free soft CPU with tools
59358: 03/08/15: alison: xilinx PAR removing Logic
    59362: 03/08/16: rickman: Re: xilinx PAR removing Logic
    59363: 03/08/16: Jim Wu: Re: xilinx PAR removing Logic
        59365: 03/08/16: alison: Re: xilinx PAR removing Logic
            59381: 03/08/18: Peter Mash: Re: xilinx PAR removing Logic
59366: 03/08/16: John Jerz: SynplifyPro Mapper runs endlessly
    59367: 03/08/17: Subroto Datta: Re: SynplifyPro Mapper runs endlessly
59370: 03/08/18: Davo: Which software from Xilinx
    59406: 03/08/18: Pedro Claro: Re: Which software from Xilinx
        59407: 03/08/18: Eric Crabill: Re: Which software from Xilinx
            59458: 03/08/19: Loi Tran: Re: Which software from Xilinx
                59460: 03/08/19: <user@domain.invalid>: Re: Which software from Xilinx
                59461: 03/08/19: Eric Crabill: Re: Which software from Xilinx
                59466: 03/08/19: Francois Choquette: Re: Which software from Xilinx
        59560: 03/08/21: james: Re: Which software from Xilinx
    59384: 03/08/18: Martin Schoeberl: Re: Never used FPGA board for sale
        59393: 03/08/18: Frank Andreas de Groot: Re: Never used FPGA board for sale
            59396: 03/08/18: Martin Schoeberl: Re: Never used FPGA board for sale
                59423: 03/08/18: rickman: Re: Never used FPGA board for sale
                    59425: 03/08/19: Martin Schoeberl: Re: Never used FPGA board for sale
59372: 03/08/17: Choudhary: custom memory array implementaion
    59377: 03/08/18: Martin Euredjian: Re: custom memory array implementaion
        59413: 03/08/18: Ray Andraka: Re: custom memory array implementaion
    59378: 03/08/17: Jim Wu: Re: custom memory array implementaion
59373: 03/08/17: Peter Mash: Translate: Map
    59395: 03/08/18: John_H: Re: Translate: Map
59374: 03/08/17: sarah: serial communication between pc and altera fpga
    59375: 03/08/17: cfk: Re: serial communication between pc and altera fpga
        59392: 03/08/18: sarah: Re: serial communication between pc and altera fpga
            59401: 03/08/18: Joe: Re: serial communication between pc and altera fpga
                59447: 03/08/19: Martin Schoeberl: Re: serial communication between pc and altera fpga
    59388: 03/08/18: Mario Trams: Re: serial communication between pc and altera fpga
        59389: 03/08/18: Jonathan Bromley: Re: serial communication between pc and altera fpga
            59443: 03/08/19: Symon: Re: serial communication between pc and altera fpga
                59473: 03/08/20: Jonathan Bromley: Re: serial communication between pc and altera fpga
                    59484: 03/08/20: Symon: Re: serial communication between pc and altera fpga
                59482: 03/08/20: Nial Stewart: Re: serial communication between pc and altera fpga
    59402: 03/08/18: Jean Nicolle: Re: serial communication between pc and altera fpga
59383: 03/08/18: Michael Chan: Parallel interface to an FPGA
    59436: 03/08/19: Antti Lukats: Re: Parallel interface to an FPGA
        59449: 03/08/19: Andras Tantos: Re: Parallel interface to an FPGA
            59457: 03/08/19: Jeff Sampson: Re: Parallel interface to an FPGA
            59474: 03/08/20: Martin Thompson: Re: Parallel interface to an FPGA
    59439: 03/08/19: Nial Stewart: Re: Parallel interface to an FPGA
    59453: 03/08/19: Jon Elson: Re: Parallel interface to an FPGA
59386: 03/08/18: Chris Saturn: Quartus and dcfifo
    59398: 03/08/18: Subroto Datta: Re: Quartus and dcfifo
        59433: 03/08/19: Chris Saturn: Re: Quartus and dcfifo
59387: 03/08/18: Rajeev: Altera JTAG verification
    59399: 03/08/18: Andrew Paule: Re: Altera JTAG verification
    59404: 03/08/18: Greg Steinke: Re: Altera JTAG verification
        59410: 03/08/18: Andrew Paule: Re: Altera JTAG verification
        59434: 03/08/19: Rajeev: Re: Altera JTAG verification
            59456: 03/08/19: Greg Steinke: Re: Altera JTAG verification
    59500: 03/08/20: Rajeev: Re: Altera JTAG verification
59394: 03/08/18: Sandeep: Xilinx's library associations
59400: 03/08/18: David Lamb: DDFS question
    59405: 03/08/18: John_H: Re: DDFS question
        59417: 03/08/19: Allan Herriman: Re: DDFS question
            59437: 03/08/19: John_H: Re: DDFS question
                59462: 03/08/20: Allan Herriman: Re: DDFS question
    59409: 03/08/18: Peter Alfke: Re: DDFS question
        59441: 03/08/19: Peter Alfke: Re: DDFS question
            59446: 03/08/19: John_H: Re: DDFS question
                59452: 03/08/19: Peter Alfke: Re: DDFS question
            59463: 03/08/20: Allan Herriman: Re: DDFS question
    59411: 03/08/18: Symon: Re: DDFS question
        59412: 03/08/18: Austin Lesea: Re: DDFS question
            59438: 03/08/19: Symon: Re: DDFS question
59414: 03/08/19: John Williams: "sniffing" signals
    59415: 03/08/19: Martin Euredjian: Re: "sniffing" signals
    59428: 03/08/19: Antti Lukats: Re: "sniffing" signals
    59440: 03/08/19: Symon: Re: "sniffing" signals
59420: 03/08/18: J-Wing: determine clock cycles (wait states) in interface to user logic in NIOS.
59424: 03/08/19: rickman: Async logic in FPGAs
    59429: 03/08/19: Martin Thompson: Re: Async logic in FPGAs
    59442: 03/08/19: Symon: Re: Async logic in FPGAs
    59590: 03/08/22: Ray Andraka: Re: Async logic in FPGAs
        59593: 03/08/22: rickman: Re: Async logic in FPGAs
59427: 03/08/19: Michael Chan: Re: random address
59430: 03/08/19: Thanassis Roubies: Xilinx Parallel Cable III Schematic
    59432: 03/08/19: Martin Kellermann: Re: Xilinx Parallel Cable III Schematic
59435: 03/08/19: J-Wing: User logic to NIOS processor with bigger data width
59444: 03/08/20: Davo: ISE Foundation 4.1i compatibility
59448: 03/08/19: JoeG: 22V10, ABEL & Current Design Tools?
    59451: 03/08/19: Mikeandmax: Re: 22V10, ABEL & Current Design Tools?
        59454: 03/08/20: Jim Granville: Re: 22V10, ABEL & Current Design Tools?
            59476: 03/08/20: Mikeandmax: Re: 22V10, ABEL & Current Design Tools?
                59502: 03/08/21: Jim Granville: Re: 22V10, ABEL & Current Design Tools?
                    59537: 03/08/21: Mikeandmax: Re: 22V10, ABEL & Current Design Tools?
                        59569: 03/08/22: Jim Granville: Re: 22V10, ABEL & Current Design Tools?
                    59572: 03/08/22: KB: Re: 22V10, ABEL & Current Design Tools?
                59510: 03/08/20: Andy Peters: Re: 22V10, ABEL & Current Design Tools?
    59469: 03/08/20: Andrew Paule: Re: 22V10, ABEL & Current Design Tools?
        59486: 03/08/20: JoeG: Re: 22V10, ABEL & Current Design Tools?
            59503: 03/08/21: Jim Granville: Re: 22V10, ABEL & Current Design Tools?
            59519: 03/08/20: Stephen Williams: Re: 22V10, ABEL & Current Design Tools?
                59522: 03/08/20: Andrew Paule: Re: 22V10, ABEL & Current Design Tools?
            59530: 03/08/21: Andrew Paule: Re: 22V10, ABEL & Current Design Tools?
                59531: 03/08/21: Jim Granville: Re: 22V10, ABEL & Current Design Tools?
                    59534: 03/08/21: Andrew Paule: Re: 22V10, ABEL & Current Design Tools?
                        59570: 03/08/22: Jim Granville: Re: 22V10, ABEL & Current Design Tools?
    59562: 03/08/21: james: Re: 22V10, ABEL & Current Design Tools?
        59571: 03/08/21: Andrew Paule: Re: 22V10, ABEL & Current Design Tools?
59450: 03/08/19: JoeG: Legacy 4005 series and current Xilinx ISE offerings?
    59478: 03/08/20: Austin Lesea: Re: Legacy 4005 series and current Xilinx ISE offerings?
        59485: 03/08/20: JoeG: Re: Legacy 4005 series and current Xilinx ISE offerings?
            59487: 03/08/20: Pete Fraser: Re: Legacy 4005 series and current Xilinx ISE offerings?
            59488: 03/08/20: Austin Lesea: Re: Legacy 4005 series and current Xilinx ISE offerings?
                59504: 03/08/21: Jim Granville: Re: Legacy 4005 series and current Xilinx ISE offerings?
                59520: 03/08/20: Stephen Williams: Re: Legacy 4005 series and current Xilinx ISE offerings?
                    59524: 03/08/21: Philip Freidin: Re: Legacy 4005 series and current Xilinx ISE offerings?
            59489: 03/08/21: Neeraj Varma: Re: Legacy 4005 series and current Xilinx ISE offerings?
            59490: 03/08/20: Philip Freidin: Re: Legacy 4005 series and current Xilinx ISE offerings?
                59496: 03/08/20: Austin Lesea: Re: Legacy 4005 series and current Xilinx ISE offerings?
                    59505: 03/08/20: JoeG: Re: Legacy 4005 series and current Xilinx ISE offerings?
                        59514: 03/08/20: Austin Lesea: Re: Legacy 4005 series and current Xilinx ISE offerings?
                            59532: 03/08/21: JoeG: Re: Legacy 4005 series and current Xilinx ISE offerings?
                                59533: 03/08/21: Jim Granville: Re: Legacy 4005 series and current Xilinx ISE offerings?
        59493: 03/08/20: JoeG: Re: Legacy 4005 series and current Xilinx ISE offerings?
59459: 03/08/19: Wong: Synchronous FSM
    59472: 03/08/20: Martin Euredjian: Re: Synchronous FSM
    59499: 03/08/20: John_H: Re: Synchronous FSM
59464: 03/08/19: Jeff Sampson: Xilinx FPGA pin locking/assignment
    59468: 03/08/19: Antti Lukats: Re: Xilinx FPGA pin locking/assignment
    59480: 03/08/20: Peter Wallace: Re: Xilinx FPGA pin locking/assignment
        59495: 03/08/20: Jeff Sampson: Re: Xilinx FPGA pin locking/assignment
            59497: 03/08/20: Austin Lesea: Re: where to find interfacing on support.xilinx.com
            59501: 03/08/20: Peter Alfke: Re: Xilinx FPGA pin locking/assignment
                59528: 03/08/20: Jeff Sampson: Re: Xilinx FPGA pin locking/assignment
                    59529: 03/08/21: Jeff Sampson: Re: Xilinx FPGA pin locking/assignment
    59517: 03/08/20: Ray Andraka: Re: Xilinx FPGA pin locking/assignment
    59559: 03/08/21: Jon Elson: Re: Xilinx FPGA pin locking/assignment
59465: 03/08/19: jaideep: random address
    59471: 03/08/20: Christian Schneider: Re: random address
59470: 03/08/19: Wolfram Stumpf: IO tco timing differs between Altera Quartus II versions
    59507: 03/08/20: Greg Steinke: Re: IO tco timing differs between Altera Quartus II versions
59475: 03/08/20: Terry: Xilinx XC3000 with Xilinx ISE student edition 4.2i
    59481: 03/08/20: Peter Alfke: Re: Xilinx XC3000 with Xilinx ISE student edition 4.2i
        59483: 03/08/20: Jonathan Bromley: Re: Xilinx XC3000 with Xilinx ISE student edition 4.2i
            59506: 03/08/21: Jim Granville: Re: Xilinx XC3000 with Xilinx ISE student edition 4.2i
            59513: 03/08/20: Peter Alfke: Re: Xilinx XC3000 with Xilinx ISE student edition 4.2i
                59535: 03/08/21: Jonathan Bromley: Re: Xilinx XC3000 with Xilinx ISE student edition 4.2i
                    59551: 03/08/21: Mike Treseler: Re: Xilinx XC3000 with Xilinx ISE student edition 4.2i
        59576: 03/08/22: Terry: Re: Xilinx XC3000 with Xilinx ISE student edition 4.2i
59477: 03/08/20: Bill Diehls: performance tweaking FPGA designs
    59491: 03/08/20: Jay: Re: performance tweaking FPGA designs
    59498: 03/08/20: Martin Euredjian: Re: performance tweaking FPGA designs
    59523: 03/08/20: Anil Khanna: Re: performance tweaking FPGA designs
        59545: 03/08/21: Phil Hays: Re: performance tweaking FPGA designs
    59541: 03/08/21: Phil Hays: Re: performance tweaking FPGA designs
59492: 03/08/20: senjed: BlockRAM in VHDL
    59494: 03/08/20: Tom Dillon: Re: BlockRAM in VHDL
    59515: 03/08/21: Pradeep: Re: BlockRAM in VHDL
59508: 03/08/20: David Lamb: DCM vs state machine
    59509: 03/08/20: David Lamb: Re: DCM vs state machine
        59516: 03/08/20: Austin Lesea: Re: DCM vs state machine
        59521: 03/08/20: Peter Alfke: Re: DCM vs state machine
            59540: 03/08/21: David Lamb: Re: DCM vs state machine
                59546: 03/08/21: John_H: Re: DCM vs state machine
                59549: 03/08/21: Peter Alfke: Re: DCM vs state machine
                59550: 03/08/21: Luiz Carlos: Re: DCM vs state machine
                    59554: 03/08/21: Peter Alfke: Re: DCM vs state machine
                        59566: 03/08/21: Luiz Carlos: Re: DCM vs state machine
                            59567: 03/08/21: Peter Alfke: Re: DCM vs state machine
                                59587: 03/08/22: Luiz Carlos: Re: DCM vs state machine
            59542: 03/08/21: Austin Lesea: Re: DCM vs state machine
    59512: 03/08/20: Austin Lesea: Re: DCM vs state machine
        59527: 03/08/21: Kevin Neilson: Re: DCM vs state machine
            59543: 03/08/21: Austin Lesea: Re: DCM vs state machine
                59556: 03/08/21: Kevin Neilson: Re: DCM vs state machine
                    59563: 03/08/21: Austin Lesea: Re: DCM vs state machine
    59518: 03/08/20: Peter Alfke: Re: DCM vs state machine
    59526: 03/08/20: Jake Janovetz: Re: DCM vs state machine
        59544: 03/08/21: Austin Lesea: Re: DCM vs state machine
    59539: 03/08/21: Marlboro: Re: DCM vs state machine
        59547: 03/08/21: Austin Lesea: Re: DCM vs state machine
    59552: 03/08/21: Marlboro: Re: DCM vs state machine
        59553: 03/08/21: Austin Lesea: Re: DCM vs state machine
59536: 03/08/21: smu: ise 5.2 timing summary
    59589: 03/08/22: Ray Andraka: Re: ise 5.2 timing summary
59538: 03/08/21: Antti Lukats: Xilinx Platform Flash Engineering Sample PLEEAASE
59555: 03/08/21: Aman Gayasen: Some questions about Xilinx ISE
    59608: 03/08/23: Christian Schneider: Re: Some questions about Xilinx ISE
59557: 03/08/21: David Lamb: Converstion from foundation4 to ISE 5.2
    59558: 03/08/21: Jon Elson: Re: Converstion from foundation4 to ISE 5.2
59561: 03/08/21: Jon Elson: EDIF input to Xilinx ISE
    59641: 03/08/25: Brannon King: Re: EDIF input to Xilinx ISE
        59648: 03/08/25: Jon Elson: Re: EDIF input to Xilinx ISE
59573: 03/08/21: Stephen du Toit: Question about slew rate for SpartanII using ISE5.1
    59577: 03/08/22: Jacques athow: Re: Question about slew rate for SpartanII using ISE5.1
        59586: 03/08/22: John_H: Re: Question about slew rate for SpartanII using ISE5.1
            59613: 03/08/24: Stephen du Toit: Re: Question about slew rate for SpartanII using ISE5.1
            59614: 03/08/24: Stephen du Toit: Re: Question about slew rate for SpartanII using ISE5.1
59574: 03/08/22: Sasa Bremec: DA FIR filter vs. MAC FIR filter
    59588: 03/08/22: Ray Andraka: Re: DA FIR filter vs. MAC FIR filter
        59728: 03/08/27: Sasa Bremec: Re: DA FIR filter vs. MAC FIR filter
59575: 03/08/22: Antti Lukats: V2Pro, ML300 Linux reference design
    59884: 03/08/30: Peter Ryser: Re: V2Pro, ML300 Linux reference design
59579: 03/08/22: =?ISO-8859-1?Q?Eduardo_Wenzel_Bri=E3o?=: Problems with PAR tool in Modular Design flow
59580: 03/08/22: Hakon Lislebo: Why can't Xilinx DCM's regain lock without a RESET??
    59585: 03/08/22: Austin Lesea: Re: Why can't Xilinx DCM's regain lock without a RESET??
        59628: 03/08/25: Hakon Lislebo: Re: Why can't Xilinx DCM's regain lock without a RESET??
            59631: 03/08/25: Austin Lesea: Re: Why can't Xilinx DCM's regain lock without a RESET??
                59690: 03/08/26: Håkon Lislebø: Re: Why can't Xilinx DCM's regain lock without a RESET??
                    59702: 03/08/26: Austin Lesea: Re: Why can't Xilinx DCM's regain lock without a RESET??
                        59837: 03/08/29: Håkon Lislebø: Re: Why can't Xilinx DCM's regain lock without a RESET??
                            59949: 03/09/02: Austin Lesea: Re: Why can't Xilinx DCM's regain lock without a RESET??
59581: 03/08/22: Marlboro: LVPECL I/O and Fndtn4.2
    59592: 03/08/22: Philip Freidin: Re: LVPECL I/O and Fndtn4.2
59582: 03/08/22: Tom Derham: Simulating single module of design in ModelSim (Xilinx)
59584: 03/08/22: Isaac: Signal within block
    59605: 03/08/23: Mike Treseler: Re: Signal within block
59594: 03/08/22: rickman: Altera ACEX 1K IOE
    59602: 03/08/23: Valeria Dal Monte: Re: Altera ACEX 1K IOE
        59604: 03/08/23: rickman: Re: Altera ACEX 1K IOE
    59696: 03/08/26: Martin Thompson: Re: Altera ACEX 1K IOE
59598: 03/08/23: rickman: Thinking out loud about metastability
    59599: 03/08/22: Jon Elson: Re: Thinking out loud about metastability
        59600: 03/08/23: rickman: Re: Thinking out loud about metastability
            59601: 03/08/23: Nicholas C. Weaver: Re: Thinking out loud about metastability
                59603: 03/08/23: rickman: Re: Thinking out loud about metastability
                    59606: 03/08/23: Jon Elson: Re: Thinking out loud about metastability
                        59610: 03/08/24: rickman: Re: Thinking out loud about metastability
                        59662: 03/08/25: Ray Andraka: Re: Thinking out loud about metastability
                            59667: 03/08/25: Jon Elson: Re: Thinking out loud about metastability
                                59676: 03/08/25: Ray Andraka: Re: Thinking out loud about metastability
                                59734: 03/08/27: rickman: Re: Thinking out loud about metastability
                            59733: 03/08/27: rickman: Re: Thinking out loud about metastability
                                59746: 03/08/27: Peter Alfke: Re: Thinking out loud about metastability
                                    59761: 03/08/27: rickman: Re: Thinking out loud about metastability
                                        59766: 03/08/28: Nicholas C. Weaver: Re: Thinking out loud about metastability
                                            59783: 03/08/28: rickman: Re: Thinking out loud about metastability
    59638: 03/08/25: John_H: Re: Thinking out loud about metastability
        59640: 03/08/25: Peter Alfke: Re: Thinking out loud about metastability
            59642: 03/08/25: Nicholas C. Weaver: Re: Thinking out loud about metastability
                59644: 03/08/25: Peter Alfke: Re: Thinking out loud about metastability
                    59645: 03/08/25: Nicholas C. Weaver: Re: Thinking out loud about metastability
                        59649: 03/08/25: Bob Perlman: Re: Thinking out loud about metastability
                            59657: 03/08/25: Nicholas C. Weaver: Re: Thinking out loud about metastability
                                59658: 03/08/25: Bob Perlman: Re: Thinking out loud about metastability
                                59691: 03/08/26: Hal Murray: Re: Thinking out loud about metastability
                                    59706: 03/08/26: Peter Alfke: Re: Thinking out loud about metastability
                                        59721: 03/08/27: nospam: Re: Thinking out loud about metastability
                                            59724: 03/08/27: Hal Murray: Re: Thinking out loud about metastability
                                                59725: 03/08/27: Jim Granville: Re: Thinking out loud about metastability
                                            59744: 03/08/27: Peter Alfke: Re: Thinking out loud about metastability
                                        59752: 03/08/27: Luiz Carlos: Re: Thinking out loud about metastability
                                            59756: 03/08/27: Peter Alfke: Re: Thinking out loud about metastability
                                                59760: 03/08/28: Philip Freidin: Re: Thinking out loud about metastability
                                                    59767: 03/08/27: rickman: Re: Thinking out loud about metastability
                                                    59778: 03/08/28: Luiz Carlos: Re: Thinking out loud about metastability
                                                        59792: 03/08/28: Philip Freidin: Re: Thinking out loud about metastability
                                                            59812: 03/08/28: Luiz Carlos: Re: Thinking out loud about metastability
                                                                59813: 03/08/28: Nicholas C. Weaver: Re: Thinking out loud about metastability
                                                                59868: 03/08/30: rickman: Re: Thinking out loud about metastability
                                                                59879: 03/08/31: Hal Murray: Re: Thinking out loud about metastability
                                                        59796: 03/08/28: Nicholas C. Weaver: Re: Thinking out loud about metastability
                                                59777: 03/08/28: Luiz Carlos: Re: Thinking out loud about metastability
                                                    59781: 03/08/28: Ray Andraka: Re: Thinking out loud about metastability
                                                        59802: 03/08/28: Luiz Carlos: Re: Thinking out loud about metastability
                                                            59821: 03/08/28: Bob Perlman: Re: Thinking out loud about metastability
                                                                59851: 03/08/29: Luiz Carlos: Re: Thinking out loud about metastability
                                                                    59866: 03/08/30: rickman: Re: Thinking out loud about metastability
                                                                        59918: 03/09/01: Luiz Carlos: Re: Thinking out loud about metastability
                                                                            59970: 03/09/02: rickman: Re: Thinking out loud about metastability
                                                                                59989: 03/09/03: Luiz Carlos: Re: Thinking out loud about metastability
                                                                                    60021: 03/09/03: rickman: Re: Thinking out loud about metastability
                                                                                        60049: 03/09/04: Luiz Carlos: Re: Thinking out loud about metastability
                                                                                        60135: 03/09/05: H. Peter Anvin: Re: Thinking out loud about metastability
                                                        59807: 03/08/28: Glen Herrmannsfeldt: Re: Thinking out loud about metastability
                                                            59818: 03/08/28: Richard Iachetta: Re: Thinking out loud about metastability
                                                                59825: 03/08/29: Glen Herrmannsfeldt: Re: Thinking out loud about metastability
                                                                    59867: 03/08/30: rickman: Re: Thinking out loud about metastability
                                                                        59909: 03/09/01: Glen Herrmannsfeldt: Re: Thinking out loud about metastability
                                                                            59971: 03/09/02: rickman: Re: Thinking out loud about metastability
                                                                                59978: 03/09/03: Glen Herrmannsfeldt: Re: Thinking out loud about metastability
                                                                                    59981: 03/09/03: rickman: Re: Thinking out loud about metastability
                                                                                        60000: 03/09/03: Nicholas C. Weaver: Re: Thinking out loud about metastability
                                                                                            60008: 03/09/03: Hal Murray: Re: Thinking out loud about metastability
                                                                                                60009: 03/09/03: Nicholas C. Weaver: Re: Thinking out loud about metastability
                                                                                                    60032: 03/09/04: Hal Murray: Re: Thinking out loud about metastability
                                                                                                        60038: 03/09/04: Glen Herrmannsfeldt: Re: Thinking out loud about metastability
                                                                                                60031: 03/09/04: Glen Herrmannsfeldt: Re: Thinking out loud about metastability
                                                                                            60022: 03/09/03: rickman: Re: Thinking out loud about metastability
                                                                                                60024: 03/09/03: Nicholas C. Weaver: Re: Thinking out loud about metastability
                                                                                                    60025: 03/09/03: Daniel Lang: Re: Thinking out loud about metastability
                                                                                                    60027: 03/09/03: rickman: Re: Thinking out loud about metastability
                                                                                                    60028: 03/09/03: Ray Andraka: Re: Thinking out loud about metastability
                                                                                        60142: 03/09/05: Ron Cline: Re: Thinking out loud about metastability
                                                                                            60154: 03/09/05: Tom Seim: Re: Thinking out loud about metastability
                                                                                                60155: 03/09/05: Ron Cline: Re: Thinking out loud about metastability
                                                                                            60171: 03/09/06: rickman: Re: Thinking out loud about metastability
                                                    59786: 03/08/28: Peter Alfke: Re: Thinking out loud about metastability
                                                        59805: 03/08/28: Andrew Paule: Re: Thinking out loud about metastability
                                                            59815: 03/08/28: Peter Alfke: Re: Thinking out loud about metastability
                                                            59819: 03/08/29: Jim Granville: Re: Thinking out loud about metastability
                                                                59822: 03/08/28: Andrew Paule: Re: Thinking out loud about metastability
                                                                    59880: 03/08/31: Hal Murray: Re: Thinking out loud about metastability
                                                                        59882: 03/08/30: rickman: Re: Thinking out loud about metastability
                                                                            59883: 03/08/31: Bob Perlman: Re: Thinking out loud about metastability
                                                                                59892: 03/08/31: rickman: Re: Thinking out loud about metastability
                                                                                    59894: 03/08/31: Bob Perlman: Re: Thinking out loud about metastability
                                                                                        59902: 03/08/31: Andrew Paule: Re: Thinking out loud about metastability
                                                                                            59922: 03/09/01: Bob Perlman: Re: Thinking out loud about metastability
                                                                                        59968: 03/09/02: rickman: Re: Thinking out loud about metastability
                                                                                            59976: 03/09/03: Ray Andraka: Re: Thinking out loud about metastability
                                                                    59904: 03/09/01: Jim Granville: Re: Thinking out loud about metastability
                                    59729: 03/08/27: Muzaffer Kal: Re: Thinking out loud about metastability
                            59668: 03/08/25: Mike Treseler: Re: Thinking out loud about metastability
                        59659: 03/08/25: Peter Alfke: Re: Thinking out loud about metastability
                    59669: 03/08/26: Jim Granville: Re: Thinking out loud about metastability
                59673: 03/08/26: Phil Hays: Re: Thinking out loud about metastability
59607: 03/08/23: Kuan Zhou: What is the context switching time
    59636: 03/08/25: Peter Alfke: Re: What is the context switching time
        59647: 03/08/25: Uwe Bonnes: Re: What is the context switching time
        59664: 03/08/25: Symon: Re: What is the context switching time
    59711: 03/08/26: Andrew Paule: Re: What is the context switching time
        59715: 03/08/26: Peter Alfke: Re: What is the context switching time
            59723: 03/08/26: Andrew Paule: Re: What is the context switching time
59609: 03/08/24: crj: Altera RBF format CRC
59612: 03/08/24: michele bergo: Interfacing to pc parallel port?
    59656: 03/08/25: Jan Panteltje: Re: Interfacing to pc parallel port?
59615: 03/08/25: Martin Euredjian: TIG Constraint
    59625: 03/08/25: Allan Herriman: Re: TIG Constraint
        59626: 03/08/25: Martin Euredjian: Re: TIG Constraint
        59652: 03/08/25: Anil Khanna: Re: TIG Constraint
            59666: 03/08/25: Martin Euredjian: Re: TIG Constraint
                59677: 03/08/25: Ray Andraka: Re: TIG Constraint
    59653: 03/08/25: Martin Euredjian: Re: TIG Constraint
59616: 03/08/25: John Williams: [ann] Microblaze uClinux Demo released
    59623: 03/08/25: Antti Lukats: Re: [ann] Microblaze uClinux Demo released
        59660: 03/08/26: John Williams: Re: [ann] Microblaze uClinux Demo released
            59683: 03/08/25: Antti Lukats: Re: [ann] Microblaze uClinux Demo released
                59687: 03/08/26: John Williams: Re: [ann] Microblaze uClinux Demo released
                    59688: 03/08/26: John Williams: Re: [ann] Microblaze uClinux Demo released
    59979: 03/09/03: Dan Kegel: Re: [ann] Microblaze uClinux Demo released
        60029: 03/09/04: John Williams: Re: [ann] Microblaze uClinux Demo released
59617: 03/08/24: Matt Ettus: Problem configuring Cyclone
    59719: 03/08/26: Greg Steinke: Re: Problem configuring Cyclone
        59757: 03/08/27: Matt Ettus: Re: Problem configuring Cyclone
            59824: 03/08/28: Greg Steinke: Re: Problem configuring Cyclone
59618: 03/08/24: Pablo Bleyer Kocik: Reusing CCLK line after configuration for Spartan-II
    59624: 03/08/25: Antti Lukats: Re: Reusing CCLK line after configuration for Spartan-II
        59632: 03/08/25: Austin Lesea: Re: Reusing CCLK line after configuration for Spartan-II
            59639: 03/08/25: Jim Kearney: Re: Reusing CCLK line after configuration for Spartan-II
                59661: 03/08/25: Pablo Bleyer Kocik: Re: Reusing CCLK line after configuration for Spartan-II
                    59670: 03/08/25: Jim Kearney: Re: Reusing CCLK line after configuration for Spartan-II
                        59709: 03/08/26: Pablo Bleyer Kocik: Re: Reusing CCLK line after configuration for Spartan-II
59619: 03/08/25: pkuanfm: quetions about configure altera fpga(apex20k) using ppa scheme
    59714: 03/08/26: Greg Steinke: Re: quetions about configure altera fpga(apex20k) using ppa scheme
59620: 03/08/25: Nagaraj: Which Adder?
    59634: 03/08/25: Prasanth Kumar: Re: Which Adder?
    59665: 03/08/25: Ray Andraka: Re: Which Adder?
        59685: 03/08/26: sanjay: Re: Which Adder?
59621: 03/08/25: Srisurya Konduri: Xilinx Webpack 5.2i tutorial
    59637: 03/08/25: Steve Lass: Re: Xilinx Webpack 5.2i tutorial
59622: 03/08/25: michele bergo: parallel port and cyclone?
    59718: 03/08/26: Greg Steinke: Re: parallel port and cyclone?
59627: 03/08/25: Allan Herriman: Lithium cell on Virtex2 Pro
    59633: 03/08/25: Austin Lesea: Re: Lithium cell on Virtex2 Pro
        59671: 03/08/26: Allan Herriman: Re: Lithium cell on Virtex2 Pro
    59775: 03/08/28: kryten_droid: Re: Lithium cell on Virtex2 Pro
        59782: 03/08/28: Allan Herriman: Re: Lithium cell on Virtex2 Pro
            59785: 03/08/28: kryten_droid: Re: Lithium cell on Virtex2 Pro
59629: 03/08/25: J.Oscar: Esquematic with XC2S100
59630: 03/08/25: Alfredo: Enhancing PAR with FPGA floorplanners
    59643: 03/08/25: Neeraj Varma: Re: Enhancing PAR with FPGA floorplanners
        59646: 03/08/25: Alfredo: Re: Enhancing PAR with FPGA floorplanners
            59654: 03/08/25: Christian Schneider: Re: Enhancing PAR with FPGA floorplanners
                59655: 03/08/25: Anil Khanna: Re: Enhancing PAR with FPGA floorplanners
                    59675: 03/08/25: Ray Andraka: Re: Enhancing PAR with FPGA floorplanners
                        59680: 03/08/26: Allan Herriman: Re: Enhancing PAR with FPGA floorplanners
                        59681: 03/08/26: Phil Hays: Re: Enhancing PAR with FPGA floorplanners
                            59682: 03/08/26: Nicholas C. Weaver: Re: Enhancing PAR with FPGA floorplanners
                                59689: 03/08/26: Hal Murray: Re: Enhancing PAR with FPGA floorplanners
                                59710: 03/08/26: Christian Schneider: Re: Enhancing PAR with FPGA floorplanners
                        59700: 03/08/26: Alfredo: Re: Enhancing PAR with FPGA floorplanners
59650: 03/08/25: Eric: Two near-identicial clocks?
    59663: 03/08/25: Peter Alfke: Re: Two near-identicial clocks?
        59694: 03/08/26: Mario Trams: Re: Two near-identicial clocks?
            59698: 03/08/26: Erik Spaenig: Re: Two near-identicial clocks?
                59699: 03/08/26: Mario Trams: Re: Two near-identicial clocks?
59651: 03/08/25: Dave Colson: Xilinx GLOBAL_LOGIC0 and 1 nets, why?
59672: 03/08/25: colin hankins: Xilinx bit files
    59903: 03/09/01: Jean Nicolle: Re: Xilinx bit files
59674: 03/08/25: mark spencer: Dini DN3000K10S board for sell
59686: 03/08/25: Nitin Chandrachoodan: FPGA minimum operating frequencies
    59693: 03/08/26: Mario Trams: Re: FPGA minimum operating frequencies
    59695: 03/08/26: Allan Herriman: Re: FPGA minimum operating frequencies
    59701: 03/08/26: Austin Lesea: Re: FPGA minimum operating frequencies
    59703: 03/08/26: Peter Alfke: Re: FPGA minimum operating frequencies
59692: 03/08/26: Jean Nicolle: How to listen to music through an FPGA pin?
    59720: 03/08/27: John Williams: Re: How to listen to music through an FPGA pin?
        59754: 03/08/27: Kolja Sulimma: Re: How to listen to music through an FPGA pin?
            59764: 03/08/27: rickman: Re: How to listen to music through an FPGA pin?
                59770: 03/08/28: Ray Andraka: Re: How to listen to music through an FPGA pin?
                    59790: 03/08/28: Pete Fraser: Re: How to listen to music through an FPGA pin?
                        59801: 03/08/28: Ray Andraka: Re: How to listen to music through an FPGA pin?
        59895: 03/08/31: Jean Nicolle: Re: How to listen to music through an FPGA pin?
    59742: 03/08/27: Peter Wallace: Re: How to listen to music through an FPGA pin?
        59743: 03/08/27: Peter Wallace: Re: How to listen to music through an FPGA pin?
    59900: 03/08/31: Andy Peters: Re: How to listen to music through an FPGA pin?
59697: 03/08/26: Jay: Multi-clock / clocking counter
    59763: 03/08/27: Jay: Re: Multi-clock / clocking counter
    59765: 03/08/27: rickman: Re: Multi-clock / clocking counter
59704: 03/08/26: <NOSPAM@NOSPAM.invalid.com>: Free FPGA samples anywhere?
    59705: 03/08/26: Nicholas C. Weaver: Re: Free FPGA samples anywhere?
    59707: 03/08/26: Austin Lesea: Re: Free FPGA samples anywhere?
    59708: 03/08/26: Peter Alfke: Re: Free FPGA samples anywhere?
        59712: 03/08/26: Andrew Paule: Re: Free FPGA samples anywhere?
    59713: 03/08/26: Rene Tschaggelar: Re: Free FPGA samples anywhere?
        59758: 03/08/27: Jan Panteltje: Re: Free FPGA samples anywhere?
            59794: 03/08/28: Rene Tschaggelar: Re: Free FPGA samples anywhere?
                59863: 03/08/30: Pawel Kolodziej: Re: Free FPGA samples anywhere?
                    59896: 03/08/31: Rene Tschaggelar: Re: Free FPGA samples anywhere?
59716: 03/08/26: Amir Torabi: synthesizing registers :
59717: 03/08/26: Kevin Neilson: Verlog 2001 signed numbers
    60478: 03/09/14: Steven Sharp: Re: Verlog 2001 signed numbers
59722: 03/08/27: Jay: Asynchronous clock switching circuits vs. BUFGMUX
    59745: 03/08/27: Peter Alfke: Re: Asynchronous clock switching circuits vs. BUFGMUX
59726: 03/08/27: Allan Herriman: Virtex2pro "Bufg Exclusivity"
59727: 03/08/27: Muthu: PCI Clock Issue
    59915: 03/09/01: algous: Re: Q:epax1 dma?
59731: 03/08/27: Leon Heller: WebPack ISE and Norton Anti-virus
    59759: 03/08/27: Martin Euredjian: Re: WebPack ISE and Norton Anti-virus
59732: 03/08/27: Josh Model: Max finding
    59735: 03/08/27: Jonathan Bromley: Re: Max finding
        59738: 03/08/27: Josh Model: Re: Max finding
            59753: 03/08/27: Jon Elson: Re: Max finding
            59823: 03/08/29: Martin Euredjian: Re: Max finding
        59740: 03/08/27: John Handwork: Re: Max finding
59736: 03/08/27: Salman Sheikh: fixed point divider help
    59737: 03/08/27: John Handwork: Re: fixed point divider help
59739: 03/08/27: Sylvan: Help - Bit file not changing after design change
    59755: 03/08/27: Sylvan: Re: Help - Bit file not changing after design change
59747: 03/08/27: jetmarc: Can SpartanIIE talk 3.3v and 1.8v at the same time?
59748: 03/08/27: Simone Winkler: Please help me!!!!! ModelSim question...
    59773: 03/08/28: g. giachella: Re: Please help me!!!!! ModelSim question...
59749: 03/08/27: yusuke: Convert Jedec to logical equations
    59751: 03/08/27: Andrew Paule: Re: Convert Jedec to logical equations
        59811: 03/08/28: Mark Moyer: Re: Convert Jedec to logical equations
            59826: 03/08/29: Andrew Paule: Re: Convert Jedec to logical equations
                59827: 03/08/29: Andrew Paule: Re: Convert Jedec to logical equations
59750: 03/08/27: Jaded: Help ! compxlib Error " mti_se not found" while Bulding XILINX libraries for ModelSim SE
    59762: 03/08/28: Jim Wu: Re: Help ! compxlib Error " mti_se not found" while Bulding XILINX libraries for ModelSim SE
59768: 03/08/27: Atif: Implementing FIFO in Spartan-II
    59779: 03/08/28: Jim Wu: Re: Implementing FIFO in Spartan-II
59769: 03/08/27: Atif: Is Platform Flash PROM an electrically erasable??
    59788: 03/08/28: Peter Alfke: Re: Is Platform Flash PROM an electrically erasable??
        59817: 03/08/28: Bruce Jorgens: Re: Is Platform Flash PROM an electrically erasable??
59771: 03/08/27: John Lee: pricing, cyclone or spartan
    59787: 03/08/28: Peter Alfke: Re: pricing, cyclone or spartan
        59829: 03/08/28: Antti Lukats: Re: pricing, cyclone or spartan
            59833: 03/08/29: Uwe Bonnes: Re: pricing, cyclone or spartan
            59838: 03/08/29: Martin Schoeberl: Re: pricing, cyclone or spartan
                59849: 03/08/29: Antti Lukats: Re: pricing, cyclone or spartan
                    59852: 03/08/29: Martin Schoeberl: Re: pricing, cyclone or spartan
            59856: 03/08/29: John_H: Re: pricing, cyclone or spartan
                59857: 03/08/29: Martin Schoeberl: Re: pricing, cyclone or spartan
            59858: 03/08/29: Peter Alfke: Re: pricing, cyclone or spartan
            60057: 03/09/04: Steven K. Knapp: Re: pricing, cyclone or spartan
    59854: 03/08/29: CB: Re: pricing, cyclone or spartan
59772: 03/08/28: Skull-Lee: serie
    59913: 03/09/01: Jonathan Bromley: Re: serie
59774: 03/08/28: lynch: We are debugging a pci board and met some difficulties.
59776: 03/08/28: RobertP: Period constraint
    59784: 03/08/28: rickman: Re: Period constraint
59780: 03/08/28: Luiz Carlos: Input comparator
    59942: 03/09/02: Luiz Carlos: Re: Input comparator
        59946: 03/09/02: Austin Lesea: Re: Input comparator
            59993: 03/09/03: Luiz Carlos: Re: Input comparator
                60006: 03/09/03: Austin Lesea: Re: Input comparator
                    60045: 03/09/04: Luiz Carlos: Re: Input comparator
                        60053: 03/09/04: Austin Lesea: Re: Input comparator
                            60078: 03/09/04: Jan Panteltje: Re: Input comparator
                                60080: 03/09/04: Austin Lesea: Re: Input comparator
        59952: 03/09/02: John_H: Re: Input comparator
            59994: 03/09/03: Luiz Carlos: Re: Input comparator
            60007: 03/09/03: Austin Lesea: Re: Input comparator
    59962: 03/09/02: Andrey Likholit: Re: Input comparator
59789: 03/08/28: Christos: Moving Sum
    59791: 03/08/28: Nicholas C. Weaver: Re: Moving Sum
        59798: 03/08/28: Josh Model: Re: Moving Sum
            59799: 03/08/28: Josh Model: Re: Moving Sum
        59835: 03/08/29: Juergen: Re: Moving Sum
        59841: 03/08/29: Christos: Re: Moving Sum
    59797: 03/08/28: Ray Andraka: Re: Moving Sum
    59830: 03/08/28: Jack Stone: Re: Moving Sum
        59876: 03/08/30: Hal Murray: Re: Moving Sum
            59917: 03/09/01: Christos: Re: Moving Sum
                59928: 03/09/01: Tom Seim: Re: Moving Sum
                59935: 03/09/01: Jack Stone: Re: Moving Sum
    59836: 03/08/29: Gregory C. Read: Re: Moving Sum
    59924: 03/09/01: Kolja Sulimma: Re: Moving Sum
        59941: 03/09/02: Christos: Re: Moving Sum
            59964: 03/09/02: Tom Seim: Re: Moving Sum
                60002: 03/09/03: Christos: Re: Moving Sum
                    60059: 03/09/04: Tom Seim: Re: Moving Sum
    60061: 03/09/04: Theron Hicks: Re: Moving Sum
        60069: 03/09/04: Ray Andraka: Re: Moving Sum
            60110: 03/09/05: Christos: Re: Moving Sum
                60129: 03/09/05: Ray Andraka: Re: Moving Sum
59793: 03/08/28: David Lamb: Selecting between two clock signals
    59795: 03/08/28: Marten: Re: Selecting between two clock signals
        59803: 03/08/28: Andrew Paule: Re: Selecting between two clock signals
            59808: 03/08/28: John_H: Re: Selecting between two clock signals
                59816: 03/08/28: Peter Alfke: Re: Selecting between two clock signals
        59814: 03/08/28: Peter Alfke: Re: Selecting between two clock signals
            59834: 03/08/29: Uwe Bonnes: Re: Selecting between two clock signals
                59859: 03/08/29: Peter Alfke: Re: Selecting between two clock signals
                59947: 03/09/02: Austin Lesea: Re: Selecting between two clock signals
            59862: 03/08/30: Jay: Re: Selecting between two clock signals
                59948: 03/09/02: Austin Lesea: Re: Selecting between two clock signals
            60013: 03/09/03: David Lamb: Re: Selecting between two clock signals
                60017: 03/09/03: Austin Lesea: Re: Selecting between two clock signals
59800: 03/08/28: David Lamb: DCM divide/phase problem
    59861: 03/08/30: Jay: Re: DCM divide/phase problem
59804: 03/08/28: Dave Garnett: Dumb DLL Question
59806: 03/08/28: Robert Abiad: HDL Designer from Mentor
    59810: 03/08/28: Mike Treseler: Re: HDL Designer from Mentor
        59832: 03/08/29: Simon Peacock: Re: HDL Designer from Mentor
    59831: 03/08/29: Amontec Team: Re: HDL Designer from Mentor
    59839: 03/08/29: Håkon Lislebø: Re: HDL Designer from Mentor
        59842: 03/08/29: Nial Stewart: Re: HDL Designer from Mentor
            59848: 03/08/29: Håkon Lislebø: Re: HDL Designer from Mentor
    59898: 03/08/31: Paul Baxter: Re: HDL Designer from Mentor
        59912: 03/09/01: Jonathan Bromley: Re: HDL Designer from Mentor
            59929: 03/09/01: Paul Baxter: Re: HDL Designer from Mentor
                59939: 03/09/02: Jonathan Bromley: Re: HDL Designer from Mentor
            59960: 03/09/02: Mike Treseler: Re: HDL Designer from Mentor
            60001: 03/09/03: Kleven Bingham: Re: HDL Designer from Mentor
        59969: 03/09/02: Ray Andraka: Re: HDL Designer from Mentor
59840: 03/08/29: Seba: Xilinx Foundation Series F2.1i + win2k
    59843: 03/08/29: Lorenzo: Re: Xilinx Foundation Series F2.1i + win2k
        59846: 03/08/29: Seba: Re: Xilinx Foundation Series F2.1i + win2k
    59850: 03/08/29: Adam: Re: Xilinx Foundation Series F2.1i + win2k
        59853: 03/08/29: Seba: Re: Xilinx Foundation Series F2.1i + win2k
59844: 03/08/29: Sudip Saha: Configuration vhdl
    59875: 03/08/30: Mike Treseler: Re: Configuration vhdl
59845: 03/08/29: Allan Herriman: keep_hierarchy in project manager
    59847: 03/08/29: Stefan Philipp: Re: keep_hierarchy in project manager
        59855: 03/08/30: Allan Herriman: Re: keep_hierarchy in project manager
59860: 03/08/29: Symon: Mitigating metastability.
    59870: 03/08/30: rickman: Re: Mitigating metastability.
    59878: 03/08/30: Hal Murray: Re: Mitigating metastability.
    59911: 03/09/01: Philip Freidin: Re: Mitigating metastability.
        59965: 03/09/02: Symon: Re: Mitigating metastability.
            59966: 03/09/02: Austin Lesea: Re: Measuring metastability.
                59973: 03/09/02: Symon: Re: Measuring metastability.
                    59980: 03/09/03: rickman: Re: Measuring metastability.
                        60005: 03/09/03: Austin Lesea: Re: Measuring metastability.
                            60023: 03/09/03: rickman: Re: Measuring metastability.
                        60016: 03/09/03: Symon: Re: Measuring metastability.
                            60019: 03/09/03: Austin Lesea: Re: Measuring metastability.
                                60041: 03/09/04: Jim Granville: Re: Measuring metastability.
                                    60083: 03/09/04: Mike Treseler: Re: Measuring metastability.
                    60004: 03/09/03: Austin Lesea: Re: Measuring metastability.
59864: 03/08/30: walala: how to design this datapath unit for DSP using VHDL/Verilog?
    59873: 03/08/30: Kevin Neilson: Re: how to design this datapath unit for DSP using VHDL/Verilog?
        59881: 03/08/30: walala: Re: how to design this datapath unit for DSP using VHDL/Verilog?
    59943: 03/09/02: Jim Wu: Re: how to design this datapath unit for DSP using VHDL/Verilog?
59865: 03/08/30: vishal shah: DSP
    59872: 03/08/30: Kevin Neilson: Re: DSP
59871: 03/08/31: Terry: Xilinx Foundation Series 2.1i on Linux
    59934: 03/09/01: Roger Larsson: Re: Xilinx Foundation Series 2.1i on Linux
    59955: 03/09/02: Jon Elson: Re: Xilinx Foundation Series 2.1i on Linux
59874: 03/08/30: George: Shift register
    59877: 03/08/30: Martin Euredjian: Re: Shift register
    59885: 03/08/30: gnupun: Re: Shift register
59886: 03/08/31: Thanassis Roubies: Parallel Cable III Problems
    59887: 03/08/31: Uwe Bonnes: Re: Parallel Cable III Problems
    59956: 03/09/02: Jon Elson: Re: Parallel Cable III Problems
    60164: 03/09/06: David Kinsell: Re: Parallel Cable III Problems
59888: 03/08/31: Ben Gerblich: using CLKDLL, want: myclock <= CLKDV and LOCKED
59889: 03/08/31: Panic: Question conserning Altera's Quartus II
    59897: 03/08/31: Subroto Datta: Re: Question conserning Altera's Quartus II
59890: 03/08/31: Valentin Tihomirov: A WEB site digesting FPGA boards and PC connectivity solutions?
59891: 03/08/31: Chen Bin: A student's question
    59908: 03/09/01: Philip Freidin: Re: A student's question
    59950: 03/09/02: Austin Lesea: Re: A student's question
59893: 03/08/31: John: How to use Modelsim-Altera to do the timing simulation?
    59901: 03/08/31: Andy Peters: Re: How to use Modelsim-Altera to do the timing simulation?


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search